****************************************************************************** TMS320C2000 Linker PC v15.12.3 ****************************************************************************** >> Linked Tue Jun 06 12:59:11 2017 OUTPUT FILE NAME: ENTRY POINT SYMBOL: "code_start" address: 00080000 MEMORY CONFIGURATION name origin length used unused attr fill ---------------------- -------- --------- -------- -------- ---- -------- PAGE 0: RAMLS0 00008000 00000800 00000094 0000076c RWIX RAMLS1 00008800 00000800 00000000 00000800 RWIX RAMLS2 00009000 00000800 00000000 00000800 RWIX RAMLS3 00009800 00000800 00000000 00000800 RWIX RAMLS4 0000a000 00000800 00000000 00000800 RWIX BEGIN 00080000 00000002 00000002 00000000 RWIX FLASHA 00080002 00001ffe 00000000 00001ffe RWIX FLASHB 00082000 00002000 00002000 00000000 RWIX FLASHC 00084000 00002000 0000128c 00000d74 RWIX FLASHD 00086000 00002000 00000094 00001f6c RWIX FLASHE 00088000 00008000 00000000 00008000 RWIX FLASHF 00090000 00008000 00000640 000079c0 RWIX FLASHG 00098000 00008000 00000000 00008000 RWIX FLASHH 000a0000 00008000 00000000 00008000 RWIX FLASHI 000a8000 00008000 00000000 00008000 RWIX FLASHJ 000b0000 00008000 00000000 00008000 RWIX FLASHK 000b8000 00002000 00000000 00002000 RWIX FLASHL 000ba000 00002000 00000000 00002000 RWIX FLASHM 000bc000 00002000 00000000 00002000 RWIX FLASHN 000be000 00002000 00000000 00002000 RWIX RESET 003fffc0 00000002 00000000 00000002 RWIX PAGE 1: BOOT_RSVD 00000002 00000120 00000000 00000120 RWIX RAMM0 00000122 000002de 00000000 000002de RWIX RAMM1 00000400 00000400 00000000 00000400 RWIX ADCA_RESULT 00000b00 00000020 00000018 00000008 RWIX ADCB_RESULT 00000b20 00000020 00000018 00000008 RWIX ADCC_RESULT 00000b40 00000020 00000018 00000008 RWIX ADCD_RESULT 00000b60 00000020 00000018 00000008 RWIX CPU_TIMER0 00000c00 00000008 00000008 00000000 RWIX CPU_TIMER1 00000c08 00000008 00000008 00000000 RWIX CPU_TIMER2 00000c10 00000008 00000008 00000000 RWIX PIE_CTRL 00000ce0 00000020 0000001a 00000006 RWIX PIE_VECT 00000d00 00000200 000001c0 00000040 RWIX DMA 00001000 00000200 00000000 00000200 RWIX CLA1 00001400 00000040 00000000 00000040 RWIX EPWM1 00004000 00000100 00000100 00000000 RWIX EPWM2 00004100 00000100 00000100 00000000 RWIX EPWM3 00004200 00000100 00000100 00000000 RWIX EPWM4 00004300 00000100 00000100 00000000 RWIX EPWM5 00004400 00000100 00000100 00000000 RWIX EPWM6 00004500 00000100 00000100 00000000 RWIX EPWM7 00004600 00000100 00000100 00000000 RWIX EPWM8 00004700 00000100 00000100 00000000 RWIX EPWM9 00004800 00000100 00000100 00000000 RWIX EPWM10 00004900 00000100 00000100 00000000 RWIX EPWM11 00004a00 00000100 00000100 00000000 RWIX EPWM12 00004b00 00000100 00000100 00000000 RWIX ECAP1 00005000 00000020 00000000 00000020 RWIX ECAP2 00005020 00000020 00000000 00000020 RWIX ECAP3 00005040 00000020 00000000 00000020 RWIX ECAP4 00005060 00000020 00000000 00000020 RWIX ECAP5 00005080 00000020 00000000 00000020 RWIX ECAP6 000050a0 00000020 00000000 00000020 RWIX EQEP1 00005100 00000040 00000000 00000040 RWIX EQEP2 00005140 00000040 00000000 00000040 RWIX EQEP3 00005180 00000040 00000000 00000040 RWIX DACA 00005c00 00000010 00000000 00000010 RWIX DACB 00005c10 00000010 00000000 00000010 RWIX DACC 00005c20 00000010 00000000 00000010 RWIX CMPSS1 00005c80 00000020 00000000 00000020 RWIX CMPSS2 00005ca0 00000020 00000000 00000020 RWIX CMPSS3 00005cc0 00000020 00000000 00000020 RWIX CMPSS4 00005ce0 00000020 00000000 00000020 RWIX CMPSS5 00005d00 00000020 00000000 00000020 RWIX CMPSS6 00005d20 00000020 00000000 00000020 RWIX CMPSS7 00005d40 00000020 00000000 00000020 RWIX CMPSS8 00005d60 00000020 00000000 00000020 RWIX SDFM1 00005e00 00000080 00000080 00000000 RWIX SDFM2 00005e80 00000080 00000080 00000000 RWIX MCBSPA 00006000 00000040 00000000 00000040 RWIX MCBSPB 00006040 00000040 00000024 0000001c RWIX SPIA 00006100 00000010 00000010 00000000 RWIX SPIB 00006110 00000010 00000000 00000010 RWIX SPIC 00006120 00000010 00000000 00000010 RWIX SPID 00006130 00000010 00000000 00000010 RWIX UPP 00006200 00000100 00000048 000000b8 RWIX WD 00007000 00000040 0000002b 00000015 RWIX NMIINTRUPT 00007060 00000010 00000007 00000009 RWIX XINT 00007070 00000010 0000000b 00000005 RWIX SCIA 00007200 00000010 00000000 00000010 RWIX SCIB 00007210 00000010 00000000 00000010 RWIX SCIC 00007220 00000010 00000010 00000000 RWIX SCID 00007230 00000010 00000000 00000010 RWIX I2CA 00007300 00000040 00000022 0000001e RWIX I2CB 00007340 00000040 00000022 0000001e RWIX ADCA 00007400 00000080 00000080 00000000 RWIX ADCB 00007480 00000080 00000080 00000000 RWIX ADCC 00007500 00000080 00000080 00000000 RWIX ADCD 00007580 00000080 00000080 00000000 RWIX INPUT_XBAR 00007900 00000020 00000020 00000000 RWIX XBAR 00007920 00000020 00000000 00000020 RWIX SYNC_SOC 00007940 00000010 00000006 0000000a RWIX DMACLASRCSEL 00007980 00000040 00000000 00000040 RWIX EPWM_XBAR 00007a00 00000040 00000000 00000040 RWIX CLB_XBAR 00007a40 00000040 00000000 00000040 RWIX OUTPUT_XBAR 00007a80 00000040 00000040 00000000 RWIX GPIOCTRL 00007c00 00000180 00000180 00000000 RWIX GPIODAT 00007f00 00000030 00000030 00000000 RWIX RAMLS5 0000a800 00000800 000007fe 00000002 RWIX RAMD0 0000b000 00000800 00000000 00000800 RWIX RAMD1 0000b800 00000800 00000000 00000800 RWIX RAMGS0 0000c000 00001000 00000000 00001000 RWIX RAMGS1 0000d000 00001000 00000000 00001000 RWIX RAMGS2 0000e000 00001000 00000000 00001000 RWIX RAMGS3 0000f000 00001000 00000000 00001000 RWIX RAMGS4 00010000 00001000 00000000 00001000 RWIX RAMGS5 00011000 00001000 00000000 00001000 RWIX STACK_RAM 00012000 00004000 00004000 00000000 RWIX RAMGS10 00016000 00001000 00000000 00001000 RWIX RAMGS11 00017000 00001000 00000000 00001000 RWIX EMIF1 00047000 00000800 00000000 00000800 RWIX EMIF2 00047800 00000800 00000000 00000800 RWIX CANA 00048000 00000800 00000000 00000800 RWIX CANB 0004a000 00000800 00000000 00000800 RWIX FLASHPUMPSEMAPHORE 00050024 00000002 00000002 00000000 RWIX DEV_CFG 0005d000 00000180 0000012e 00000052 RWIX ANALOG_SUBSYS 0005d180 00000080 00000048 00000038 RWIX CLK_CFG 0005d200 00000100 00000032 000000ce RWIX CPU_SYS 0005d300 00000100 00000082 0000007e RWIX ROMPREFETCH 0005e608 00000002 00000002 00000000 RWIX DCSM_Z1 0005f000 00000030 00000024 0000000c RWIX DCSM_Z2 0005f040 00000030 00000024 0000000c RWIX DCSM_COMMON 0005f070 00000010 00000000 00000010 RWIX MEMCFG 0005f400 00000080 00000080 00000000 RWIX EMIF1CONFIG 0005f480 00000020 00000000 00000020 RWIX EMIF2CONFIG 0005f4a0 00000020 00000000 00000020 RWIX ACCESSPROTECTION 0005f4c0 00000040 00000000 00000040 RWIX MEMORYERROR 0005f500 00000040 00000000 00000040 RWIX ROMWAITSTATE 0005f540 00000002 00000000 00000002 RWIX FLASH0_CTRL 0005f800 00000300 00000182 0000017e RWIX FLASH0_ECC 0005fb00 00000040 00000028 00000018 RWIX FLASH1_CTRL 0005fc00 00000300 00000182 0000017e RWIX FLASH1_ECC 0005ff00 00000040 00000028 00000018 RWIX DCSM_Z1_OTP 00078000 00000020 00000000 00000020 RWIX DCSM_Z2_OTP 00078200 00000020 00000000 00000020 RWIX SECTION ALLOCATION MAP output attributes/ section page origin length input sections -------- ---- ---------- ---------- ---------------- codestart * 0 00080000 00000002 00080000 00000002 F2837xS_CodeStartBranch.obj (codestart) .switch 0 00082000 000000ac 00082000 0000008c epwm_trip_zone.obj (.switch:_main) 0008208c 00000020 dac.obj (.switch:_spiAint) .cinit 0 000820ac 00000088 000820ac 00000028 epwm_trip_zone.obj (.cinit) 000820d4 00000019 dac.obj (.cinit) 000820ed 00000014 serialUART.obj (.cinit) 00082101 0000000e rts2800_fpu32.lib : exit.obj (.cinit) 0008210f 00000008 ADCSource.obj (.cinit) 00082117 00000005 rts2800_fpu32.lib : _lock.obj (.cinit:__lock) 0008211c 00000005 : _lock.obj (.cinit:__unlock) 00082121 00000005 : vars.obj (.cinit) 00082126 00000004 F2837xS_DefaultISR.obj (.cinit) 0008212a 00000004 adc.obj (.cinit) 0008212e 00000004 rts2800_fpu32.lib : memory.obj (.cinit) 00082132 00000002 --HOLE-- [fill = 0] .pinit 0 00082000 00000000 UNINITIALIZED .TI.ramfunc * 0 00086000 00000094 RUN ADDR = 00008000 00086000 00000090 F2837xS_SysCtrl.obj (.TI.ramfunc) 00086090 00000004 F2837xS_usDelay.obj (.TI.ramfunc) AdcaResultFile * 1 00000b00 00000018 UNINITIALIZED 00000b00 00000018 F2837xS_GlobalVariableDefs.obj (AdcaResultFile) AdcbResultFile * 1 00000b20 00000018 UNINITIALIZED 00000b20 00000018 F2837xS_GlobalVariableDefs.obj (AdcbResultFile) AdccResultFile * 1 00000b40 00000018 UNINITIALIZED 00000b40 00000018 F2837xS_GlobalVariableDefs.obj (AdccResultFile) AdcdResultFile * 1 00000b60 00000018 UNINITIALIZED 00000b60 00000018 F2837xS_GlobalVariableDefs.obj (AdcdResultFile) CpuTimer0RegsFile * 1 00000c00 00000008 UNINITIALIZED 00000c00 00000008 F2837xS_GlobalVariableDefs.obj (CpuTimer0RegsFile) CpuTimer1RegsFile * 1 00000c08 00000008 UNINITIALIZED 00000c08 00000008 F2837xS_GlobalVariableDefs.obj (CpuTimer1RegsFile) CpuTimer2RegsFile * 1 00000c10 00000008 UNINITIALIZED 00000c10 00000008 F2837xS_GlobalVariableDefs.obj (CpuTimer2RegsFile) PieVectTableFile * 1 00000d00 000001c0 UNINITIALIZED 00000d00 000001c0 F2837xS_GlobalVariableDefs.obj (PieVectTableFile) EmuKeyVar * 1 00000d00 00000001 UNINITIALIZED 00000d00 00000001 F2837xS_GlobalVariableDefs.obj (EmuKeyVar) EmuBModeVar * 1 00000d01 00000001 UNINITIALIZED 00000d01 00000001 F2837xS_GlobalVariableDefs.obj (EmuBModeVar) FlashCallbackVar * 1 00000d02 00000000 UNINITIALIZED FlashScalingVar * 1 00000d02 00000000 UNINITIALIZED AdcaRegsFile * 1 00007400 00000080 UNINITIALIZED 00007400 00000080 F2837xS_GlobalVariableDefs.obj (AdcaRegsFile) AdcbRegsFile * 1 00007480 00000080 UNINITIALIZED 00007480 00000080 F2837xS_GlobalVariableDefs.obj (AdcbRegsFile) AdccRegsFile * 1 00007500 00000080 UNINITIALIZED 00007500 00000080 F2837xS_GlobalVariableDefs.obj (AdccRegsFile) AdcdRegsFile * 1 00007580 00000080 UNINITIALIZED 00007580 00000080 F2837xS_GlobalVariableDefs.obj (AdcdRegsFile) .esysmem 1 0000a800 00000400 UNINITIALIZED 0000a800 00000001 rts2800_fpu32.lib : memory.obj (.esysmem) 0000a801 000003ff --HOLE-- .stack 1 00012000 00004000 UNINITIALIZED 00012000 00004000 --HOLE-- .reset 0 003fffc0 00000002 DSECT 003fffc0 00000002 rts2800_fpu32.lib : boot.obj (.reset) AnalogSubsysRegsFile * 1 0005d180 00000048 UNINITIALIZED 0005d180 00000048 F2837xS_GlobalVariableDefs.obj (AnalogSubsysRegsFile) Cla1SoftIntRegsFile * 1 00000ce0 00000000 DSECT .text.1 0 00082134 00001ecc 00082134 00000836 epwm_trip_zone.obj (.text) 0008296a 00000834 UARTsrcSnk.obj (.text) 0008319e 0000058f F2837xS_DefaultISR.obj (.text:retain) 0008372d 00000384 F2837xS_SysCtrl.obj (.text) 00083ab1 00000242 ADCSource.obj (.text) 00083cf3 000001d4 F2837xS_Gpio.obj (.text) 00083ec7 00000103 SysCommons.obj (.text) 00083fca 00000029 rts2800_fpu32.lib : exit.obj (.text) 00083ff3 00000009 : _lock.obj (.text) 00083ffc 00000004 : pure_virt.obj (.text) .text.2 0 00084000 0000128c 00084000 000001d2 rts2800_fpu32.lib : memory.obj (.text) 000841d2 00000196 Transform.obj (.text) 00084368 0000018e ThreePhaseBase.obj (.text) 000844f6 0000016e PWMsink.obj (.text) 00084664 00000162 epwm_trip_zone.obj (.text:retain) 000847c6 000000d4 F2837xS_Adc.obj (.text) 0008489a 000000bf ThreePhsSysOutput.obj (.text) 00084959 000000bd OnePhaseBase.obj (.text) 00084a16 000000b6 IOExpander.obj (.text) 00084acc 000000b2 adc.obj (.text:retain) 00084b7e 000000b2 dac.obj (.text:retain) 00084c30 000000a4 DACSink.obj (.text) 00084cd4 0000009d serialUART.obj (.text:retain) 00084d71 0000009a serialUART.obj (.text) 00084e0b 0000008e ThreePhsSysCoordinator.obj (.text) 00084e99 0000008a dac.obj (.text) 00084f23 00000088 rts2800_fpu32.lib : fs_div.obj (.text) 00084fab 0000007b ThreePhsSysInput.obj (.text) 00085026 0000005e EXTADC.obj (.text) 00085084 00000058 EXTADCMAX11605.obj (.text) 000850dc 00000056 rts2800_fpu32.lib : boot.obj (.text) 00085132 00000046 : cpy_tbl.obj (.text) 00085178 00000028 F2837xS_PieCtrl.obj (.text) 000851a0 00000023 OnePhsSysInput.obj (.text) 000851c3 00000022 rts2800_fpu32.lib : i_div.obj (.text) 000851e5 00000020 : new_.obj (.text) 00085205 0000001e : memcpy.obj (.text) 00085223 0000001a : cpy_utils.obj (.text) 0008523d 00000019 adc.obj (.text) 00085256 00000019 rts2800_fpu32.lib : args_main.obj (.text) 0008526f 00000011 F2837xS_PieVect.obj (.text) 00085280 00000005 rts2800_fpu32.lib : delete.obj (.text) 00085285 00000003 : error.obj (.text) 00085288 00000002 : pre_init.obj (.text) 0008528a 00000001 : newhandler.obj (.text) 0008528b 00000001 : startup.obj (.text) .econst 0 00090000 00000640 00090000 000001c0 F2837xS_PieVect.obj (.econst:_PieVectTableInit) 000901c0 00000078 SysCommons.obj (.econst:___vtbl__10SysCommons) 00090238 00000078 SysCommons.obj (.econst:___vtbl__12I_SysCommons) 000902b0 00000078 OnePhaseBase.obj (.econst:___vtbl__12OnePhaseBase) 00090328 00000078 OnePhsSysInput.obj (.econst:___vtbl__14OnePhsSysInput) 000903a0 00000078 ThreePhaseBase.obj (.econst:___vtbl__14ThreePhaseBase) 00090418 00000078 ThreePhsSysInput.obj (.econst:___vtbl__16ThreePhsSysInput) 00090490 00000078 ThreePhsSysOutput.obj (.econst:___vtbl__17ThreePhsSysOutput) 00090508 00000050 ThreePhsSysInput.obj (.econst:_ph1) 00090558 00000050 ThreePhsSysInput.obj (.econst:_ph2) 000905a8 00000050 ThreePhsSysInput.obj (.econst:_ph3) 000905f8 00000008 ADCSource.obj (.econst:___vtbl__10ADC_Source) 00090600 00000008 UARTsrcSnk.obj (.econst:___vtbl__10UARTsrcSnk) 00090608 00000008 IOExpander.obj (.econst:___vtbl__11IO_Expander) 00090610 00000008 EXTADCMAX11605.obj (.econst:___vtbl__16EXT_ADC_MAX11605) 00090618 00000008 ThreePhsSysCoordinator.obj (.econst:___vtbl__22ThreePhsSysCoordinator) 00090620 00000008 DACSink.obj (.econst:___vtbl__7DACSink) 00090628 00000008 EXTADC.obj (.econst:___vtbl__7EXT_ADC) 00090630 00000008 PWMsink.obj (.econst:___vtbl__7PWMsink) 00090638 00000008 Transform.obj (.econst:___vtbl__9Transform) PieCtrlRegsFile * 1 00000ce0 0000001a UNINITIALIZED 00000ce0 0000001a F2837xS_GlobalVariableDefs.obj (PieCtrlRegsFile) EPwm1RegsFile * 1 00004000 00000100 UNINITIALIZED 00004000 00000100 F2837xS_GlobalVariableDefs.obj (EPwm1RegsFile) EPwm2RegsFile * 1 00004100 00000100 UNINITIALIZED 00004100 00000100 F2837xS_GlobalVariableDefs.obj (EPwm2RegsFile) EPwm3RegsFile * 1 00004200 00000100 UNINITIALIZED 00004200 00000100 F2837xS_GlobalVariableDefs.obj (EPwm3RegsFile) EPwm4RegsFile * 1 00004300 00000100 UNINITIALIZED 00004300 00000100 F2837xS_GlobalVariableDefs.obj (EPwm4RegsFile) EPwm5RegsFile * 1 00004400 00000100 UNINITIALIZED 00004400 00000100 F2837xS_GlobalVariableDefs.obj (EPwm5RegsFile) EPwm6RegsFile * 1 00004500 00000100 UNINITIALIZED 00004500 00000100 F2837xS_GlobalVariableDefs.obj (EPwm6RegsFile) EPwm7RegsFile * 1 00004600 00000100 UNINITIALIZED 00004600 00000100 F2837xS_GlobalVariableDefs.obj (EPwm7RegsFile) EPwm8RegsFile * 1 00004700 00000100 UNINITIALIZED 00004700 00000100 F2837xS_GlobalVariableDefs.obj (EPwm8RegsFile) EPwm9RegsFile * 1 00004800 00000100 UNINITIALIZED 00004800 00000100 F2837xS_GlobalVariableDefs.obj (EPwm9RegsFile) EPwm10RegsFile * 1 00004900 00000100 UNINITIALIZED 00004900 00000100 F2837xS_GlobalVariableDefs.obj (EPwm10RegsFile) EPwm11RegsFile * 1 00004a00 00000100 UNINITIALIZED 00004a00 00000100 F2837xS_GlobalVariableDefs.obj (EPwm11RegsFile) EPwm12RegsFile * 1 00004b00 00000100 UNINITIALIZED 00004b00 00000100 F2837xS_GlobalVariableDefs.obj (EPwm12RegsFile) Sdfm1RegsFile * 1 00005e00 00000080 UNINITIALIZED 00005e00 00000080 F2837xS_GlobalVariableDefs.obj (Sdfm1RegsFile) Sdfm2RegsFile * 1 00005e80 00000080 UNINITIALIZED 00005e80 00000080 F2837xS_GlobalVariableDefs.obj (Sdfm2RegsFile) McbspbRegsFile * 1 00006040 00000024 UNINITIALIZED 00006040 00000024 F2837xS_GlobalVariableDefs.obj (McbspbRegsFile) SpiaRegsFile * 1 00006100 00000010 UNINITIALIZED 00006100 00000010 F2837xS_GlobalVariableDefs.obj (SpiaRegsFile) UppRegsFile * 1 00006200 00000048 UNINITIALIZED 00006200 00000048 F2837xS_GlobalVariableDefs.obj (UppRegsFile) WdRegsFile * 1 00007000 0000002b UNINITIALIZED 00007000 0000002b F2837xS_GlobalVariableDefs.obj (WdRegsFile) NmiIntruptRegsFile * 1 00007060 00000007 UNINITIALIZED 00007060 00000007 F2837xS_GlobalVariableDefs.obj (NmiIntruptRegsFile) XintRegsFile * 1 00007070 0000000b UNINITIALIZED 00007070 0000000b F2837xS_GlobalVariableDefs.obj (XintRegsFile) ScicRegsFile * 1 00007220 00000010 UNINITIALIZED 00007220 00000010 F2837xS_GlobalVariableDefs.obj (ScicRegsFile) I2caRegsFile * 1 00007300 00000022 UNINITIALIZED 00007300 00000022 F2837xS_GlobalVariableDefs.obj (I2caRegsFile) I2cbRegsFile * 1 00007340 00000022 UNINITIALIZED 00007340 00000022 F2837xS_GlobalVariableDefs.obj (I2cbRegsFile) InputXbarRegsFile * 1 00007900 00000020 UNINITIALIZED 00007900 00000020 F2837xS_GlobalVariableDefs.obj (InputXbarRegsFile) SyncSocRegsFile * 1 00007940 00000006 UNINITIALIZED 00007940 00000006 F2837xS_GlobalVariableDefs.obj (SyncSocRegsFile) OutputXbarRegsFile * 1 00007a80 00000040 UNINITIALIZED 00007a80 00000040 F2837xS_GlobalVariableDefs.obj (OutputXbarRegsFile) GpioCtrlRegsFile * 1 00007c00 00000180 UNINITIALIZED 00007c00 00000180 F2837xS_GlobalVariableDefs.obj (GpioCtrlRegsFile) GpioDataRegsFile * 1 00007f00 00000030 UNINITIALIZED 00007f00 00000030 F2837xS_GlobalVariableDefs.obj (GpioDataRegsFile) .ebss 1 0000ac00 000003fe UNINITIALIZED 0000ac00 00000208 serialUART.obj (.ebss) 0000ae08 0000001a adc.obj (.ebss) 0000ae22 00000012 dac.obj (.ebss) 0000ae34 0000000a UARTsrcSnk.obj (.ebss) 0000ae3e 00000002 ADCSource.obj (.ebss) 0000ae40 000001a0 epwm_trip_zone.obj (.ebss) 0000afe0 00000008 rts2800_fpu32.lib : memory.obj (.ebss) 0000afe8 00000006 : exit.obj (.ebss) 0000afee 00000005 IOExpander.obj (.ebss) 0000aff3 00000002 ThreePhsSysCoordinator.obj (.ebss) 0000aff5 00000001 F2837xS_DefaultISR.obj (.ebss) 0000aff6 00000002 rts2800_fpu32.lib : _lock.obj (.ebss:__lock) 0000aff8 00000002 : _lock.obj (.ebss:__unlock) 0000affa 00000002 : vars.obj (.ebss) 0000affc 00000001 ThreePhsSysInput.obj (.ebss) 0000affd 00000001 ThreePhsSysOutput.obj (.ebss) FlashPumpSemaphoreRegsFile * 1 00050024 00000002 UNINITIALIZED 00050024 00000002 F2837xS_GlobalVariableDefs.obj (FlashPumpSemaphoreRegsFile) DevCfgRegsFile * 1 0005d000 0000012e UNINITIALIZED 0005d000 0000012e F2837xS_GlobalVariableDefs.obj (DevCfgRegsFile) ClkCfgRegsFile * 1 0005d200 00000032 UNINITIALIZED 0005d200 00000032 F2837xS_GlobalVariableDefs.obj (ClkCfgRegsFile) CpuSysRegsFile * 1 0005d300 00000082 UNINITIALIZED 0005d300 00000082 F2837xS_GlobalVariableDefs.obj (CpuSysRegsFile) RomPrefetchRegsFile * 1 0005e608 00000002 UNINITIALIZED 0005e608 00000002 F2837xS_GlobalVariableDefs.obj (RomPrefetchRegsFile) DcsmZ1RegsFile * 1 0005f000 00000024 UNINITIALIZED 0005f000 00000024 F2837xS_GlobalVariableDefs.obj (DcsmZ1RegsFile) DcsmZ2RegsFile * 1 0005f040 00000024 UNINITIALIZED 0005f040 00000024 F2837xS_GlobalVariableDefs.obj (DcsmZ2RegsFile) MemCfgRegsFile * 1 0005f400 00000080 UNINITIALIZED 0005f400 00000080 F2837xS_GlobalVariableDefs.obj (MemCfgRegsFile) Flash0CtrlRegsFile * 1 0005f800 00000182 UNINITIALIZED 0005f800 00000182 F2837xS_GlobalVariableDefs.obj (Flash0CtrlRegsFile) Flash0EccRegsFile * 1 0005fb00 00000028 UNINITIALIZED 0005fb00 00000028 F2837xS_GlobalVariableDefs.obj (Flash0EccRegsFile) Flash1CtrlRegsFile * 1 0005fc00 00000182 UNINITIALIZED 0005fc00 00000182 F2837xS_GlobalVariableDefs.obj (Flash1CtrlRegsFile) Flash1EccRegsFile * 1 0005ff00 00000028 UNINITIALIZED 0005ff00 00000028 F2837xS_GlobalVariableDefs.obj (Flash1EccRegsFile) MODULE SUMMARY Module code ro data rw data ------ ---- ------- ------- .\ F2837xS_GlobalVariableDefs.obj 0 0 6849 epwm_trip_zone.obj 2456 0 596 F2837xS_DefaultISR.obj 1423 0 5 F2837xS_SysCtrl.obj 1188 0 0 F2837xS_Gpio.obj 468 0 0 F2837xS_PieVect.obj 17 0 448 F2837xS_Adc.obj 212 0 0 F2837xS_PieCtrl.obj 40 0 0 F2837xS_usDelay.obj 8 0 0 F2837xS_CodeStartBranch.obj 2 0 0 +--+--------------------------------+-------+---------+---------+ Total: 5814 0 7898 .\Classes\ UARTsrcSnk.obj 2100 0 18 serialUART.obj 311 0 540 ADCSource.obj 578 0 18 ThreePhaseBase.obj 398 0 120 SysCommons.obj 259 0 240 ThreePhsSysInput.obj 123 0 361 Transform.obj 406 0 8 dac.obj 316 0 75 PWMsink.obj 366 0 8 ThreePhsSysOutput.obj 191 0 121 OnePhaseBase.obj 189 0 120 adc.obj 203 0 30 IOExpander.obj 182 0 13 DACSink.obj 164 0 8 OnePhsSysInput.obj 35 0 120 ThreePhsSysCoordinator.obj 142 0 10 EXTADC.obj 94 0 8 EXTADCMAX11605.obj 88 0 8 +--+--------------------------------+-------+---------+---------+ Total: 6145 0 1826 C:/ti/ccsv6/tools/compiler/c2000_15.12.3.LTS/lib/rts2800_fpu32.lib memory.obj 466 0 12 fs_div.obj 136 0 0 boot.obj 86 0 0 cpy_tbl.obj 70 0 0 exit.obj 41 0 20 i_div.obj 34 0 0 new_.obj 32 0 0 memcpy.obj 30 0 0 cpy_utils.obj 26 0 0 args_main.obj 25 0 0 _lock.obj 9 0 14 vars.obj 0 0 7 delete.obj 5 0 0 pure_virt.obj 4 0 0 error.obj 3 0 0 pre_init.obj 2 0 0 newhandler.obj 1 0 0 startup.obj 1 0 0 +--+--------------------------------+-------+---------+---------+ Total: 971 0 53 Heap: 0 0 1024 Stack: 0 0 16384 +--+--------------------------------+-------+---------+---------+ Grand Total: 12930 0 27185 GLOBAL DATA SYMBOLS: SORTED BY DATA PAGE address data page name -------- ---------------- ---- 00000b00 2c (00000b00) _AdcaResultRegs 00000b20 2c (00000b00) _AdcbResultRegs 00000b40 2d (00000b40) _AdccResultRegs 00000b60 2d (00000b40) _AdcdResultRegs 00000c00 30 (00000c00) _CpuTimer0Regs 00000c08 30 (00000c00) _CpuTimer1Regs 00000c10 30 (00000c00) _CpuTimer2Regs 00000ce0 33 (00000cc0) _PieCtrlRegs 00000d00 34 (00000d00) _EmuKey 00000d00 34 (00000d00) _PieVectTable 00000d01 34 (00000d00) _EmuBMode 00004000 100 (00004000) _EPwm1Regs 00004100 104 (00004100) _EPwm2Regs 00004200 108 (00004200) _EPwm3Regs 00004300 10c (00004300) _EPwm4Regs 00004400 110 (00004400) _EPwm5Regs 00004500 114 (00004500) _EPwm6Regs 00004600 118 (00004600) _EPwm7Regs 00004700 11c (00004700) _EPwm8Regs 00004800 120 (00004800) _EPwm9Regs 00004900 124 (00004900) _EPwm10Regs 00004a00 128 (00004a00) _EPwm11Regs 00004b00 12c (00004b00) _EPwm12Regs 00005e00 178 (00005e00) _Sdfm1Regs 00005e80 17a (00005e80) _Sdfm2Regs 00006040 181 (00006040) _McbspbRegs 00006100 184 (00006100) _SpiaRegs 00006200 188 (00006200) _UppRegs 00007000 1c0 (00007000) _WdRegs 00007060 1c1 (00007040) _NmiIntruptRegs 00007070 1c1 (00007040) _XintRegs 00007220 1c8 (00007200) _ScicRegs 00007300 1cc (00007300) _I2caRegs 00007340 1cd (00007340) _I2cbRegs 00007400 1d0 (00007400) _AdcaRegs 00007480 1d2 (00007480) _AdcbRegs 00007500 1d4 (00007500) _AdccRegs 00007580 1d6 (00007580) _AdcdRegs 00007900 1e4 (00007900) _InputXbarRegs 00007940 1e5 (00007940) _SyncSocRegs 00007a80 1ea (00007a80) _OutputXbarRegs 00007c00 1f0 (00007c00) _GpioCtrlRegs 00007f00 1fc (00007f00) _GpioDataRegs 0000a800 2a0 (0000a800) __sys_memory 0000ac00 2b0 (0000ac00) _writeHead 0000ac01 2b0 (0000ac00) _writeTail 0000ac02 2b0 (0000ac00) _readTail 0000ac03 2b0 (0000ac00) _readHead 0000ac04 2b0 (0000ac00) _uartActive 0000ac40 2b1 (0000ac40) _RxRingBuffer 0000ad40 2b5 (0000ad40) _TxRingBuffer 0000ae08 2b8 (0000ae00) _adcACycle 0000ae09 2b8 (0000ae00) _currentGroup 0000ae0a 2b8 (0000ae00) _adc_I 0000ae16 2b8 (0000ae00) _adc_U 0000ae22 2b8 (0000ae00) _dacSel 0000ae23 2b8 (0000ae00) _dacChnlLoctr 0000ae24 2b8 (0000ae00) _currentDACgrp 0000ae25 2b8 (0000ae00) _fakeDacVal 0000ae26 2b8 (0000ae00) _wordHalf 0000ae2a 2b8 (0000ae00) _i_Set 0000ae2f 2b8 (0000ae00) _i_Band 0000ae4a 2b9 (0000ae40) _DigitalMeasure 0000ae4c 2b9 (0000ae40) _DigitalReadWrite 0000ae4e 2b9 (0000ae40) _NumericReadWrite 0000ae51 2b9 (0000ae40) _intFlag 0000ae53 2b9 (0000ae40) _slowBlink 0000ae54 2b9 (0000ae40) _NummericMeasure 0000ae56 2b9 (0000ae40) _aOs 0000ae58 2b9 (0000ae40) _ioE 0000ae5a 2b9 (0000ae40) _portPtrOut 0000ae5c 2b9 (0000ae40) _extDacPtr 0000ae5e 2b9 (0000ae40) _di 0000ae60 2b9 (0000ae40) _p2 0000ae62 2b9 (0000ae40) _isl 0000ae64 2b9 (0000ae40) _grid 0000ae66 2b9 (0000ae40) _extAdcPtr 0000ae6a 2b9 (0000ae40) _portPtrInIso 0000ae6c 2b9 (0000ae40) _portPtrIn 0000ae6e 2b9 (0000ae40) _tPsCptr 0000ae70 2b9 (0000ae40) _portPtrOutIso 0000ae72 2b9 (0000ae40) _ioEIso 0000ae74 2b9 (0000ae40) _adcSptr 0000ae76 2b9 (0000ae40) _dacSptr 0000ae78 2b9 (0000ae40) _ePwm_Regs_Ptr_1 0000ae7a 2b9 (0000ae40) _ePwm_Regs_Ptr_2 0000ae7c 2b9 (0000ae40) _p1 0000ae7e 2b9 (0000ae40) _extAdc11605Ptr 0000ae80 2ba (0000ae80) _urtPtr 0000ae82 2ba (0000ae80) _bat 0000ae84 2ba (0000ae80) _EPwm2TZIntCount 0000ae86 2ba (0000ae80) _EPwm1TZIntCount 0000ae88 2ba (0000ae80) _portsIn 0000ae8d 2ba (0000ae80) _ports 0000ae92 2ba (0000ae80) _adcDummy 0000ae9a 2ba (0000ae80) _extDacVals 0000aea2 2ba (0000ae80) _CpuTimer0 0000aeaa 2ba (0000ae80) _modFrame 0000aec0 2bb (0000aec0) _extAdc_11605_Vals 0000aed0 2bb (0000aec0) _extAdcVals 0000aee0 2bb (0000aec0) _fO 0000af00 2bc (0000af00) _str 0000af40 2bd (0000af40) _inputVector 0000afe8 2bf (0000afc0) ___TI_enable_exit_profile_output 0000afea 2bf (0000afc0) ___TI_cleanup_ptr 0000afec 2bf (0000afc0) ___TI_dtors_ptr 0000aff6 2bf (0000afc0) __lock 0000aff8 2bf (0000afc0) __unlock 0000affa 2bf (0000afc0) __new_handler 0000affc 2bf (0000afc0) _time 00012000 480 (00012000) __stack 00050024 1400 (00050000) _FlashPumpSemaphoreRegs 0005d000 1740 (0005d000) _DevCfgRegs 0005d180 1746 (0005d180) _AnalogSubsysRegs 0005d200 1748 (0005d200) _ClkCfgRegs 0005d300 174c (0005d300) _CpuSysRegs 0005e608 1798 (0005e600) _RomPrefetchRegs 0005f000 17c0 (0005f000) _DcsmZ1Regs 0005f040 17c1 (0005f040) _DcsmZ2Regs 0005f400 17d0 (0005f400) _MemCfgRegs 0005f800 17e0 (0005f800) _Flash0CtrlRegs 0005fb00 17ec (0005fb00) _Flash0EccRegs 0005fc00 17f0 (0005fc00) _Flash1CtrlRegs 0005ff00 17fc (0005ff00) _Flash1EccRegs 00090000 2400 (00090000) _PieVectTableInit 000901c0 2407 (000901c0) ___vtbl__10SysCommons 000902b0 240a (00090280) ___vtbl__12OnePhaseBase 00090328 240c (00090300) ___vtbl__14OnePhsSysInput 000903a0 240e (00090380) ___vtbl__14ThreePhaseBase 00090418 2410 (00090400) ___vtbl__16ThreePhsSysInput 00090490 2412 (00090480) ___vtbl__17ThreePhsSysOutput 000905f8 2417 (000905c0) ___vtbl__10ADC_Source 00090600 2418 (00090600) ___vtbl__10UARTsrcSnk 00090608 2418 (00090600) ___vtbl__11IO_Expander 00090610 2418 (00090600) ___vtbl__16EXT_ADC_MAX11605 00090618 2418 (00090600) ___vtbl__22ThreePhsSysCoordinator 00090620 2418 (00090600) ___vtbl__7DACSink 00090628 2418 (00090600) ___vtbl__7EXT_ADC 00090630 2418 (00090600) ___vtbl__7PWMsink 00090638 2418 (00090600) ___vtbl__9Transform GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name page address name ---- ------- ---- abs ffffffff .text 0 00083fca C$$EXIT 0 00084f23 FS$$DIV 0 000851c3 I$$DIV 0 000851d4 I$$MOD 0 00083723 _ADCA1_ISR 0 00083719 _ADCA2_ISR 0 0008370f _ADCA3_ISR 0 00083705 _ADCA4_ISR 0 000836fb _ADCA_EVT_ISR 0 000836e7 _ADCB1_ISR 0 000836dd _ADCB2_ISR 0 000836d3 _ADCB3_ISR 0 000836c9 _ADCB4_ISR 0 000836bf _ADCB_EVT_ISR 0 000836b5 _ADCC1_ISR 0 000836ab _ADCC2_ISR 0 000836a1 _ADCC3_ISR 0 00083697 _ADCC4_ISR 0 0008368d _ADCC_EVT_ISR 0 00083683 _ADCD1_ISR 0 00083679 _ADCD2_ISR 0 0008366f _ADCD3_ISR 0 00083665 _ADCD4_ISR 0 0008365b _ADCD_EVT_ISR 0 00083651 _AUX_PLL_SLIP_ISR 0 000847fb _AdcSetMode 1 00007400 _AdcaRegs 1 00000b00 _AdcaResultRegs 1 00007480 _AdcbRegs 1 00000b20 _AdcbResultRegs 1 00007500 _AdccRegs 1 00000b40 _AdccResultRegs 1 00007580 _AdcdRegs 1 00000b60 _AdcdResultRegs 1 0005d180 _AnalogSubsysRegs 0 00083197 _AreFramesAvail__10UARTsrcSnkFv 0 00083951 _AuxAuxClkSel 0 0008396c _AuxIntOsc2Sel 0 0008395b _AuxXtalOscSel 0 00083647 _CANA0_ISR 0 0008363d _CANA1_ISR 0 00083633 _CANB0_ISR 0 00083629 _CANB1_ISR 0 0008361f _CLA1_1_ISR 0 00083615 _CLA1_2_ISR 0 0008360b _CLA1_3_ISR 0 00083601 _CLA1_4_ISR 0 000835f7 _CLA1_5_ISR 0 000835ed _CLA1_6_ISR 0 000835e3 _CLA1_7_ISR 0 000835d9 _CLA1_8_ISR 0 000835cf _CLA_OVERFLOW_ISR 0 000835c5 _CLA_UNDERFLOW_ISR 0 000847c6 _CalAdcINL 0 00084276 _CalcCurrentPhase__9TransformFdN21 0 00083fba _CalcIpercFromSgndAdcDigits__10SysCommonsFi 0 00082abc _CalcLRC__10UARTsrcSnkFv 0 000844d7 _CalcSinPwm__14ThreePhaseBaseFdT1 0 000844bb _CalcSineFormEffFactor__14ThreePhaseBaseFiT1 0 00085025 _CalcSineIPoint__Ff 0 00083fa4 _CalcVoltageFromAdcDigits__10SysCommonsFUi 0 00082c22 _CaptureWrap__10UARTsrcSnkFUi 0 00083195 _CheckParityWord__10UARTsrcSnkFUi 0 00084acb _ClearPin__11IO_ExpanderFUiT1 1 0005d200 _ClkCfgRegs 0 00084e94 _CloseGridCon__22ThreePhsSysCoordinatorFv 0 00084e8f _ClosePV1_N__22ThreePhsSysCoordinatorFv 0 00084e8a _ClosePV1_PN__22ThreePhsSysCoordinatorFv 0 00084e85 _ClosePV1_P__22ThreePhsSysCoordinatorFv 0 00084e80 _ClosePreLoadZwKrs__22ThreePhsSysCoordinatorFv 0 00083fa1 _ClrAvailableFlag__10SysCommonsFv 1 0005d300 _CpuSysRegs 1 0000aea2 _CpuTimer0 1 00000c00 _CpuTimer0Regs 1 00000c08 _CpuTimer1Regs 1 00000c10 _CpuTimer2Regs 0 000848d7 _CreateThrePhsePWMsine__17ThreePhsSysOutputFd 0 00083a90 _CsmUnlock 0 000835bb _DATALOG_ISR 0 000835b1 _DMA_CH1_ISR 0 000835a7 _DMA_CH2_ISR 0 0008359d _DMA_CH3_ISR 0 00083593 _DMA_CH4_ISR 0 00083589 _DMA_CH5_ISR 0 0008357f _DMA_CH6_ISR 1 0005f000 _DcsmZ1Regs 1 0005f040 _DcsmZ2Regs 0 0008429c _Derive__9TransformFd 1 0005d000 _DevCfgRegs 1 0000ae4a _DigitalMeasure 1 0000ae4c _DigitalReadWrite 0 00083853 _DisableDog 0 00083a4e _DisablePeripheralClocks 0 00083575 _ECAP1_ISR 0 0008356b _ECAP2_ISR 0 00083561 _ECAP3_ISR 0 00083557 _ECAP4_ISR 0 0008354d _ECAP5_ISR 0 00083543 _ECAP6_ISR 0 00083539 _EMIF_ERROR_ISR 0 0008352c _EMPTY_ISR 0 00083522 _EMU_ISR 0 00083518 _EPWM10_ISR 0 0008350e _EPWM10_TZ_ISR 0 00083504 _EPWM11_ISR 0 000834fa _EPWM11_TZ_ISR 0 000834f0 _EPWM12_ISR 0 000834e6 _EPWM12_TZ_ISR 0 000834dc _EPWM1_ISR 0 000834d2 _EPWM1_TZ_ISR 0 000834c8 _EPWM2_ISR 0 000834be _EPWM2_TZ_ISR 0 000834b4 _EPWM3_ISR 0 000834aa _EPWM3_TZ_ISR 0 000834a0 _EPWM4_ISR 0 00083496 _EPWM4_TZ_ISR 0 0008348c _EPWM5_ISR 0 00083482 _EPWM5_TZ_ISR 0 00083478 _EPWM6_ISR 0 0008346e _EPWM6_TZ_ISR 0 00083464 _EPWM7_ISR 0 0008345a _EPWM7_TZ_ISR 0 00083450 _EPWM8_ISR 0 00083446 _EPWM8_TZ_ISR 0 0008343c _EPWM9_ISR 0 00083432 _EPWM9_TZ_ISR 1 00004900 _EPwm10Regs 1 00004a00 _EPwm11Regs 1 00004b00 _EPwm12Regs 1 00004000 _EPwm1Regs 1 0000ae86 _EPwm1TZIntCount 1 00004100 _EPwm2Regs 1 0000ae84 _EPwm2TZIntCount 1 00004200 _EPwm3Regs 1 00004300 _EPwm4Regs 1 00004400 _EPwm5Regs 1 00004500 _EPwm6Regs 1 00004600 _EPwm7Regs 1 00004700 _EPwm8Regs 1 00004800 _EPwm9Regs 0 00083428 _EQEP1_ISR 0 0008341e _EQEP2_ISR 0 00083414 _EQEP3_ISR 1 00000d01 _EmuBMode 1 00000d00 _EmuKey 0 00085197 _EnableInterrupts 0 00008090 _F28x_usDelay 0 0008340a _FLASH_CORRECTABLE_ERROR_ISR 0 00083400 _FPU_OVERFLOW_ISR 0 000833f6 _FPU_UNDERFLOW_ISR 0 0008317e _FeedAnalogVals__10UARTsrcSnkFiUi 0 00083167 _FeedBitCodedVals__10UARTsrcSnkFUcUi 0 00082933 _FillValsToString__FPUiPUcl 0 00084aa9 _FinishReadDevice2__11IO_ExpanderFv 0 00084aa2 _FinishReadDevice__11IO_ExpanderFv 0 000850d5 _FinishReadDevice__16EXT_ADC_MAX11605Fv 0 0008507d _FinishReadDevice__7EXT_ADCFv 1 0005f800 _Flash0CtrlRegs 1 0005fb00 _Flash0EccRegs 1 0005fc00 _Flash1CtrlRegs 1 0005ff00 _Flash1EccRegs 0 0000807c _FlashOff_Bank0 0 00008068 _FlashOff_Bank1 1 00050024 _FlashPumpSemaphoreRegs 0 00082c34 _FourAscsToInt16__10UARTsrcSnkFP18int16ToModHexStrct 0 00083eb7 _GPIO_EnableUnbondedIOPullups 0 00083e92 _GPIO_EnableUnbondedIOPullupsFor100Pin 0 00083e79 _GPIO_EnableUnbondedIOPullupsFor176Pin 0 00083e65 _GPIO_ReadPin 0 00083e43 _GPIO_SetupLock 0 00083dee _GPIO_SetupPinMux 0 00083d67 _GPIO_SetupPinOptions 0 00083d60 _GPIO_SetupXINT1Gpio 0 00083d59 _GPIO_SetupXINT2Gpio 0 00083d52 _GPIO_SetupXINT3Gpio 0 00083d4b _GPIO_SetupXINT4Gpio 0 00083d44 _GPIO_SetupXINT5Gpio 0 00083d2a _GPIO_WritePin 0 00083f9e _GetActualIbandDigit__10SysCommonsFv 0 00083f9b _GetActualIeffSollDigit__10SysCommonsFv 0 00083f98 _GetActualUeffSollDigit__10SysCommonsFv 0 00082c19 _GetAmtOfRxBytes__10UARTsrcSnkFUiT1 0 00083164 _GetAmtofRecVals__10UARTsrcSnkFv 0 00083161 _GetAvailableDigOuts__10UARTsrcSnkFv 0 00083f95 _GetAvailableFlag__10SysCommonsFv 0 000844b8 _GetCosPhiMeas__14ThreePhaseBaseFv 0 000844b5 _GetCosPhiProces__14ThreePhaseBaseFv 0 000844b2 _GetCosPhiSoll__14ThreePhaseBaseFv 0 0008315e _GetFC__10UARTsrcSnkFv 0 000844b0 _GetFrqMeas__14ThreePhaseBaseFv 0 000849f2 _GetIinPerc__12OnePhaseBaseFv 0 00084a9c _GetInPort__11IO_ExpanderFUi 0 000844ad _GetIrmsAdc__14ThreePhaseBaseFUi 0 00083f92 _GetMode__10SysCommonsFv 0 00083f8a _GetNegPinCmd__10SysCommonsFv 0 00083f7e _GetNegPinFeedBack__10SysCommonsFv 0 00083f7b _GetNegZwKrsRawVal__10SysCommonsFv 0 0008465a _GetNextPWMregRef__7PWMsinkFv 0 000842c1 _GetNextPhaseVal__9TransformFdN21 0 00083ce8 _GetNxtAdcIref__10ADC_SourceFv 0 00083cdd _GetNxtAdcUref__10ADC_SourceFv 0 0008315d _GetNxtFrame__10UARTsrcSnkFv 0 0008313b _GetNxtRecVal__10UARTsrcSnkFv 0 00084cc9 _GetNxt_i_Band__7DACSinkFv 0 00084cbe _GetNxt_i_Set__7DACSinkFv 0 00084a96 _GetOutPort__11IO_ExpanderFUi 0 00084653 _GetPWMregRef__7PWMsinkFUi 0 000844aa _GetPblindAdcMeas__14ThreePhaseBaseFv 0 000844a7 _GetPeffAdcMeas__14ThreePhaseBaseFv 0 00083f76 _GetPosPinCmd__10SysCommonsFv 0 00083f6a _GetPosPinFeedBack__10SysCommonsFv 0 00083f67 _GetPosZwKrsRawVal__10SysCommonsFv 0 000849ef _GetPwmRegRef__12OnePhaseBaseFv 0 0008449f _GetPwmRegRef__14ThreePhaseBaseFUi 0 000849eb _GetRawIadc__12OnePhaseBaseFv 0 000849e7 _GetRawUadc__12OnePhaseBaseFv 0 00083138 _GetReqstdAmt__10UARTsrcSnkFv 0 000850cb _GetSpecChnl__16EXT_ADC_MAX11605FUi 0 00085073 _GetSpecChnl__7EXT_ADCFUi 0 00084cb7 _GetSpec_i_Band__7DACSinkFUi 0 00084cb0 _GetSpec_i_Set__7DACSinkFUi 0 00083cd2 _GetSpecificAdcIref__10ADC_SourceFUi 0 00083cc7 _GetSpecificAdcUref__10ADC_SourceFUi 0 00083135 _GetStartAdr__10UARTsrcSnkFv 0 000849d2 _GetU__12OnePhaseBaseFv 0 00084496 _GetUrawAdc__14ThreePhaseBaseFUi 0 00084494 _GetUrmsAdc__14ThreePhaseBaseFUi 0 00084e7e _GetZwKrsPreLoadPin__22ThreePhsSysCoordinatorFv 0 00084e7c _GetZwKrsPreLoadPort__22ThreePhsSysCoordinatorFv 0 000849b3 _GetZwKrsU__12OnePhaseBaseFv 1 00007c00 _GpioCtrlRegs 1 00007f00 _GpioDataRegs 0 00083a7f _HALT 0 00083a6b _HIB 0 00082c2c _HexAsciToDecNibble__10UARTsrcSnkFUc 0 000833ec _I2CA_FIFO_ISR 0 000833e2 _I2CA_ISR 0 000833d8 _I2CB_FIFO_ISR 0 000833ce _I2CB_ISR 1 00007300 _I2caRegs 1 00007340 _I2cbRegs 0 00083a45 _IDLE 0 000833c4 _ILLEGAL_ISR 0 000833ba _IPC0_ISR 0 000833b0 _IPC1_ISR 0 000833a6 _IPC2_ISR 0 0008339c _IPC3_ISR 0 00083ab9 _InitAdc__10ADC_SourceFv 0 00083979 _InitAuxPll 0 000844fe _InitEPWMmodules__7PWMsinkFv 0 000828fc _InitEPwm1Example__Fv 0 000828c0 _InitEPwm2Example__Fv 0 000828a4 _InitEPwmGpio_TZ__Fv 0 00008000 _InitFlash_Bank0 0 00008034 _InitFlash_Bank1 0 00083cf3 _InitGpio 0 00083860 _InitPeripheralClocks 0 00085178 _InitPieCtrl 0 0008526f _InitPieVectTable 0 00083909 _InitSysCtrl 0 00083794 _InitSysPll 0 00082134 _InitTimer_0__Fv 0 000828a3 _InitTzGpio__Fv 0 00082972 _InitUART__10UARTsrcSnkFv 0 0008287e _Init_I2C__Fv 0 00084c38 _Init__7DACSinkFv 1 00007900 _InputXbarRegs 0 00082a96 _Int16To4hexAsciis__10UARTsrcSnkFP18int16ToModHexStrct 0 00084251 _Integrator__9TransformFd 0 0008501e _IsPhaseLocked__16ThreePhsSysInputFv 0 0008424b _IsPllSynced__9TransformFv 0 00083392 _MCBSPA_RX_ISR 0 00083388 _MCBSPA_TX_ISR 0 0008337e _MCBSPB_RX_ISR 0 00083374 _MCBSPB_TX_ISR 1 00006040 _McbspbRegs 1 0005f400 _MemCfgRegs 0 00082e28 _ModToApp_ConvertAndCheckFC15__10UARTsrcSnkFPUcUiPi 0 00082f23 _ModToApp_ConvertAndCheckFC16__10UARTsrcSnkFPUcUiPi 0 00082c4a _ModToApp_ConvertAndCheckFC1toFC4__10UARTsrcSnkFPUcUiPi 0 00082ce7 _ModToApp_ConvertAndCheckFC5__10UARTsrcSnkFPUcUiPi 0 00082d90 _ModToApp_ConvertAndCheckFC6__10UARTsrcSnkFPUcUiPi 0 00084224 _MovAvrg__9TransformFd 0 0008336a _NMI_ISR 0 00083360 _NOTUSED_ISR 0 00082a8e _NibbleToHexAscii__10UARTsrcSnkFUc 1 00007060 _NmiIntruptRegs 0 000841da _NullMovAvrg__9TransformFv 1 0000ae4e _NumericReadWrite 1 0000ae54 _NummericMeasure 0 00084e77 _OpenGridCon__22ThreePhsSysCoordinatorFv 0 00084e72 _OpenPV1_N__22ThreePhsSysCoordinatorFv 0 00084e6d _OpenPV1_PN__22ThreePhsSysCoordinatorFv 0 00084e68 _OpenPV1_P__22ThreePhsSysCoordinatorFv 0 00084e63 _OpenPreLoadZwKrs__22ThreePhsSysCoordinatorFv 1 00007a80 _OutputXbarRegs 0 00083356 _PIE_RESERVED_ISR 0 00084221 _PLLcontroler__9TransformFdN21 1 00000ce0 _PieCtrlRegs 1 00000d00 _PieVectTable 0 00090000 _PieVectTableInit 0 00084a92 _PinNbrToBitMsk__11IO_ExpanderFUi 0 00083f64 _PutActualIbandDigit__10SysCommonsFi 0 00083f61 _PutActualIeffSollDigit__10SysCommonsFi 0 00083f5e _PutActualUeffSollDigit__10SysCommonsFUi 0 00084dff _PutCharPhy 0 00084dd4 _PutCharsPhy 0 000849af _PutDAC_I_Band_Val__12OnePhaseBaseFUi 0 000849ac _PutDAC_I_Band__12OnePhaseBaseFPUi 0 0008499a _PutDAC_I_Set_Val__12OnePhaseBaseFi 0 00084997 _PutDAC_I_Set__12OnePhaseBaseFPUi 0 00084489 _PutDACref__14ThreePhaseBaseFPUi 0 0008445e _PutDACval__14ThreePhaseBaseFUii 0 0008444b _PutDutyCyclesToPwmRegs__14ThreePhaseBaseFUlN21 0 00084994 _PutIref__12OnePhaseBaseFPUi 0 00084440 _PutIref__14ThreePhaseBaseFPUi 0 00083f5c _PutNegZwKrsRef__10SysCommonsFPUi 0 00084435 _PutNxtPwmRegRef__14ThreePhaseBaseFPVUl 0 00083f5a _PutPosZwKrsRef__10SysCommonsFPUi 0 00084991 _PutPwmRegRef__12OnePhaseBaseFPVUl 0 0008498e _PutUref__12OnePhaseBaseFPUi 0 0008442a _PutUref__14ThreePhaseBaseFPUi 0 0008334c _RAM_ACCESS_VIOLATION_ISR 0 00083342 _RAM_CORRECTABLE_ERROR_ISR 0 00083338 _RTOS_ISR 0 00086094 _RamfuncsLoadEnd abs 00000094 _RamfuncsLoadSize 0 00086000 _RamfuncsLoadStart 0 00008094 _RamfuncsRunEnd abs 00000094 _RamfuncsRunSize 0 00008000 _RamfuncsRunStart 0 0008302c _ReadSerial__10UARTsrcSnkFv 0 0008378a _ReleaseFlashPump 0 00083f57 _RemOfstFromCur__10SysCommonsFUi 0 00084dfa _ResetTX 0 00082c16 _ResetTxPp__10UARTsrcSnkFv 1 0005e608 _RomPrefetchRegs 0 00084e3b _RunCoordinator__22ThreePhsSysCoordinatorFv 0 00085000 _RunRefSys__16ThreePhsSysInputFv 0 000843a7 _RunRmsFilter__14ThreePhaseBaseFv 0 00084fff _RunThreePhaseInput__16ThreePhsSysInputFd 0 00084986 _Run__12OnePhaseBaseFv 0 00084d44 _RxInt 1 0000ac40 _RxRingBuffer 0 0008332e _SCIA_RX_ISR 0 00083324 _SCIA_TX_ISR 0 0008331a _SCIB_RX_ISR 0 00083310 _SCIB_TX_ISR 0 00083306 _SCIC_RX_ISR 0 000832fc _SCIC_TX_ISR 0 000832f2 _SCID_RX_ISR 0 000832e8 _SCID_TX_ISR 0 000832de _SD1_ISR 0 000832d4 _SD2_ISR 0 000832ca _SPIA_RX_ISR 0 000832c0 _SPIA_TX_ISR 0 000832b6 _SPIB_RX_ISR 0 000832ac _SPIB_TX_ISR 0 000832a2 _SPIC_RX_ISR 0 00083298 _SPIC_TX_ISR 0 0008377f _STANDBY 0 0008328e _SYS_PLL_SLIP_ISR 1 00007220 _ScicRegs 1 00005e00 _Sdfm1Regs 1 00005e80 _Sdfm2Regs 0 0008376d _SeizeFlashPump_Bank0 0 0008375b _SeizeFlashPump_Bank1 0 00082b6c _SendAnalogModBusDatas__10UARTsrcSnkFUi 0 00082ac8 _SendBitCodedModBusDatas__10UARTsrcSnkFUi 0 00084dee _SendCharPhy 0 00082a8b _SendChar__10UARTsrcSnkFUc 0 00084de9 _SendCharsPhy 0 00082a87 _SendChars__10UARTsrcSnkFPUcUi 0 0008374d _ServiceDog 0 00083f53 _SetAvailableFlag__10SysCommonsFv 0 000843a4 _SetCosPhiSoll__14ThreePhaseBaseFi 0 00084ff8 _SetIsollEffAdcDgts__16ThreePhsSysInputFUi 0 00084e39 _SetMyInputSys__22ThreePhsSysCoordinatorFP16ThreePhsSysInput 0 00084e37 _SetMyOutputSys__22ThreePhsSysCoordinatorFP17ThreePhsSysOutput 0 00083f49 _SetNegPinHigh__10SysCommonsFv 0 00083f3e _SetNegPinLow__10SysCommonsFv 0 00083f37 _SetNegPortAndPinFeedBack__10SysCommonsFPUiUi 0 00083f30 _SetNegPortAndPin__10SysCommonsFPUiUi 0 00084a91 _SetPin__11IO_ExpanderFUiT1 0 00083f27 _SetPosPinHigh__10SysCommonsFv 0 00083f1d _SetPosPinLow__10SysCommonsFv 0 00083f16 _SetPosPortAndPinFeedBack__10SysCommonsFPUiUi 0 00083f12 _SetPosPortAndPin__10SysCommonsFPUiUi 0 00084981 _SetPwm__12OnePhaseBaseFUi 0 00084fe0 _SetRmsIsollInPerc__16ThreePhsSysInputFi 0 00083f0f _SetToPWMmode__10SysCommonsFv 0 00083f0b _SetToTolMode__10SysCommonsFv 0 000848d0 _SetUsollEffAdcDgts__17ThreePhsSysOutputFUi 0 00084e33 _SetUsollOut__22ThreePhsSysCoordinatorFi 0 000848c9 _SetUsoll__17ThreePhsSysOutputFUi 0 00082a7c _Sg16BitValToString__10UARTsrcSnkFlPUc 1 00006100 _SpiaRegs 0 0008523d _StartCon 0 00083cc4 _StartCycle__10ADC_SourceFv 0 00084cad _StartCycle__7DACSinkFv 0 00084f03 _StartDACcycle 0 00084a86 _StartReadDevice__11IO_ExpanderFv 0 000850c1 _StartReadDevice__16EXT_ADC_MAX11605Fv 0 00085069 _StartReadDevice__7EXT_ADCFv 0 00084d85 _StartTrans 0 00082a79 _Start__10UARTsrcSnkFv 1 00007940 _SyncSocRegs 0 00083743 _SysIntOsc1Sel 0 00083739 _SysIntOsc2Sel 0 0008372d _SysXtalOscSel 0 00083284 _TIMER0_ISR 0 0008327a _TIMER1_ISR 0 00083270 _TIMER2_ISR 0 00084d71 _TransfHwfifoToSwBuf 0 000850a7 _TransferRes__16EXT_ADC_MAX11605Fv 0 00085049 _TransferRes__7EXT_ADCFv 0 00084cd4 _TxInt 1 0000ad40 _TxRingBuffer 0 00083266 _UPPA_ISR 0 0008325c _USBA_ISR 0 00083252 _USER10_ISR 0 00083248 _USER11_ISR 0 0008323e _USER12_ISR 0 00083234 _USER1_ISR 0 0008322a _USER2_ISR 0 00083220 _USER3_ISR 0 00083216 _USER4_ISR 0 0008320c _USER5_ISR 0 00083202 _USER6_ISR 0 000831f8 _USER7_ISR 0 000831ee _USER8_ISR 0 000831e4 _USER9_ISR 0 00082a10 _Unsg16BitValToString__10UARTsrcSnkFUlPUc 1 00006200 _UppRegs 0 000831da _VCU_ISR 0 000831d0 _WAKE_ISR 1 00007000 _WdRegs 0 00084e99 _WriteDACtoFIFO 0 00084a77 _WriteDevice__11IO_ExpanderFv 0 00084a64 _WritePort__11IO_ExpanderFUi 0 000831c6 _XINT1_ISR 0 000831bc _XINT2_ISR 0 000831b2 _XINT3_ISR 0 000831a8 _XINT4_ISR 0 0008319e _XINT5_ISR 1 00007070 _XintRegs 1 00016000 __STACK_END abs 00004000 __STACK_SIZE abs 00000400 __SYSMEM_SIZE 1 0000afea ___TI_cleanup_ptr 1 0000afec ___TI_dtors_ptr 1 0000afe8 ___TI_enable_exit_profile_output abs ffffffff ___TI_pprof_out_hndl abs ffffffff ___TI_prof_data_size abs ffffffff ___TI_prof_data_start 0 00085285 ___abort_execution abs ffffffff ___binit__ abs ffffffff ___c_args__ 0 000820ac ___cinit__ 0 00083cb1 ___ct__10ADC_SourceFv 0 00083ed7 ___ct__10SysCommonsFv 0 000829bb ___ct__10UARTsrcSnkFv 0 00084a1e ___ct__11IO_ExpanderFUiUcN42 0 0008496a ___ct__12OnePhaseBaseFv 0 000851b1 ___ct__14OnePhsSysInputFv 0 00084379 ___ct__14ThreePhaseBaseFv 0 0008508c ___ct__16EXT_ADC_MAX11605FUc 0 00084fc3 ___ct__16ThreePhsSysInputFv 0 000848ab ___ct__17ThreePhsSysOutputFv 0 00084e13 ___ct__22ThreePhsSysCoordinatorFv 0 00084c99 ___ct__7DACSinkFv 0 0008502e ___ct__7EXT_ADCFUc 0 00084644 ___ct__7PWMsinkFv 0 000841e2 ___ct__9TransformFv 0 0008528a ___default_new_handler__Fv 0 00085280 ___dl__FPv 0 00083ab1 ___dt__10ADC_SourceFv 0 00083ecf ___dt__10SysCommonsFv 0 0008296a ___dt__10UARTsrcSnkFv 0 00084a16 ___dt__11IO_ExpanderFv 0 00084959 ___dt__12OnePhaseBaseFv 0 000851a0 ___dt__14OnePhsSysInputFv 0 00084368 ___dt__14ThreePhaseBaseFv 0 00085084 ___dt__16EXT_ADC_MAX11605Fv 0 00084fab ___dt__16ThreePhsSysInputFv 0 0008489a ___dt__17ThreePhsSysOutputFv 0 00084e0b ___dt__22ThreePhsSysCoordinatorFv 0 00084c30 ___dt__7DACSinkFv 0 00085026 ___dt__7EXT_ADCFv 0 000844f6 ___dt__7PWMsinkFv 0 000841d2 ___dt__9TransformFv abs ffffffff ___etext__ 0 000851e5 ___nw__FUl abs ffffffff ___pinit__ 0 00083ffc ___pure_virtual_called abs ffffffff ___text__ 0 000905f8 ___vtbl__10ADC_Source 0 000901c0 ___vtbl__10SysCommons 0 00090600 ___vtbl__10UARTsrcSnk 0 00090608 ___vtbl__11IO_Expander 0 000902b0 ___vtbl__12OnePhaseBase 0 00090328 ___vtbl__14OnePhsSysInput 0 000903a0 ___vtbl__14ThreePhaseBase 0 00090610 ___vtbl__16EXT_ADC_MAX11605 0 00090418 ___vtbl__16ThreePhsSysInput 0 00090490 ___vtbl__17ThreePhsSysOutput 0 00090618 ___vtbl__22ThreePhsSysCoordinator 0 00090620 ___vtbl__7DACSink 0 00090628 ___vtbl__7EXT_ADC 0 00090630 ___vtbl__7PWMsink 0 00090638 ___vtbl__9Transform 0 00085256 __args_main 1 0000aff6 __lock 1 0000affa __new_handler 0 00083ffb __nop 0 00083ff7 __register_lock 0 00083ff3 __register_unlock 1 00012000 __stack 1 0000a800 __sys_memory 0 0008528b __system_post_cinit 0 00085288 __system_pre_init 1 0000aff8 __unlock 1 0000ae56 _aOs 0 00083fca _abort 1 0000ae08 _adcACycle 0 00084acc _adcAint 1 0000ae92 _adcDummy 1 0000ae74 _adcSptr 1 0000ae0a _adc_I 1 0000ae16 _adc_U 1 0000ae82 _bat 0 000850dc _c_int00 0 000841bb _calloc 0 000841b9 _chkheap 0 00085132 _copy_in 0 000846be _cpu_timer0_isr__Fv 1 0000ae24 _currentDACgrp 1 0000ae09 _currentGroup 1 0000ae23 _dacChnlLoctr 1 0000ae22 _dacSel 1 0000ae76 _dacSptr 0 00085223 _ddcopy 1 0000ae5e _di 0 00085223 _dpcopy 1 0000ae78 _ePwm_Regs_Ptr_1 1 0000ae7a _ePwm_Regs_Ptr_2 0 000846a8 _epwm1_tzint_isr__Fv 0 00084664 _epwm2_tzint_isr__Fv 0 00083fcc _exit 1 0000ae7e _extAdc11605Ptr 1 0000ae66 _extAdcPtr 1 0000aed0 _extAdcVals 1 0000aec0 _extAdc_11605_Vals 1 0000ae5c _extDacPtr 1 0000ae9a _extDacVals 1 0000aee0 _fO 1 0000ae25 _fakeDacVal 0 00084000 _free 0 0008419b _free_memory 0 00082856 _genInputVector__FPfi 1 0000ae64 _grid 1 0000ae2f _i_Band 1 0000ae2a _i_Set 1 0000af40 _inputVector 1 0000ae51 _intFlag 1 0000ae58 _ioE 1 0000ae72 _ioEIso 1 0000ae62 _isl 0 00082170 _main 0 00084069 _malloc 0 00084179 _max_free 0 00085205 _memcpy 0 00084044 _minit 1 0000aeaa _modFrame 1 0000ae7c _p1 1 0000ae60 _p2 0 00085223 _pdcopy 1 0000ae6c _portPtrIn 1 0000ae6a _portPtrInIso 1 0000ae5a _portPtrOut 1 0000ae70 _portPtrOutIso 1 0000ae8d _ports 1 0000ae88 _portsIn 0 00085223 _ppcopy 1 0000ac03 _readHead 1 0000ac02 _readTail 0 000840c7 _realloc 1 0000ae53 _slowBlink 0 00084b7e _spiAint 1 0000af00 _str 1 0000ae6e _tPsCptr 1 0000affc _time 1 0000ac04 _uartActive 1 0000ae80 _urtPtr 1 0000ae26 _wordHalf 1 0000ac00 _writeHead 1 0000ac01 _writeTail abs ffffffff binit 0 000820ac cinit 0 00080000 code_start abs ffffffff etext abs ffffffff pinit GLOBAL SYMBOLS: SORTED BY Symbol Address page address name ---- ------- ---- 0 00008000 _InitFlash_Bank0 0 00008000 _RamfuncsRunStart 0 00008034 _InitFlash_Bank1 0 00008068 _FlashOff_Bank1 0 0000807c _FlashOff_Bank0 0 00008090 _F28x_usDelay 0 00008094 _RamfuncsRunEnd 0 00080000 code_start 0 000820ac ___cinit__ 0 000820ac cinit 0 00082134 _InitTimer_0__Fv 0 00082170 _main 0 00082856 _genInputVector__FPfi 0 0008287e _Init_I2C__Fv 0 000828a3 _InitTzGpio__Fv 0 000828a4 _InitEPwmGpio_TZ__Fv 0 000828c0 _InitEPwm2Example__Fv 0 000828fc _InitEPwm1Example__Fv 0 00082933 _FillValsToString__FPUiPUcl 0 0008296a ___dt__10UARTsrcSnkFv 0 00082972 _InitUART__10UARTsrcSnkFv 0 000829bb ___ct__10UARTsrcSnkFv 0 00082a10 _Unsg16BitValToString__10UARTsrcSnkFUlPUc 0 00082a79 _Start__10UARTsrcSnkFv 0 00082a7c _Sg16BitValToString__10UARTsrcSnkFlPUc 0 00082a87 _SendChars__10UARTsrcSnkFPUcUi 0 00082a8b _SendChar__10UARTsrcSnkFUc 0 00082a8e _NibbleToHexAscii__10UARTsrcSnkFUc 0 00082a96 _Int16To4hexAsciis__10UARTsrcSnkFP18int16ToModHexStrct 0 00082abc _CalcLRC__10UARTsrcSnkFv 0 00082ac8 _SendBitCodedModBusDatas__10UARTsrcSnkFUi 0 00082b6c _SendAnalogModBusDatas__10UARTsrcSnkFUi 0 00082c16 _ResetTxPp__10UARTsrcSnkFv 0 00082c19 _GetAmtOfRxBytes__10UARTsrcSnkFUiT1 0 00082c22 _CaptureWrap__10UARTsrcSnkFUi 0 00082c2c _HexAsciToDecNibble__10UARTsrcSnkFUc 0 00082c34 _FourAscsToInt16__10UARTsrcSnkFP18int16ToModHexStrct 0 00082c4a _ModToApp_ConvertAndCheckFC1toFC4__10UARTsrcSnkFPUcUiPi 0 00082ce7 _ModToApp_ConvertAndCheckFC5__10UARTsrcSnkFPUcUiPi 0 00082d90 _ModToApp_ConvertAndCheckFC6__10UARTsrcSnkFPUcUiPi 0 00082e28 _ModToApp_ConvertAndCheckFC15__10UARTsrcSnkFPUcUiPi 0 00082f23 _ModToApp_ConvertAndCheckFC16__10UARTsrcSnkFPUcUiPi 0 0008302c _ReadSerial__10UARTsrcSnkFv 0 00083135 _GetStartAdr__10UARTsrcSnkFv 0 00083138 _GetReqstdAmt__10UARTsrcSnkFv 0 0008313b _GetNxtRecVal__10UARTsrcSnkFv 0 0008315d _GetNxtFrame__10UARTsrcSnkFv 0 0008315e _GetFC__10UARTsrcSnkFv 0 00083161 _GetAvailableDigOuts__10UARTsrcSnkFv 0 00083164 _GetAmtofRecVals__10UARTsrcSnkFv 0 00083167 _FeedBitCodedVals__10UARTsrcSnkFUcUi 0 0008317e _FeedAnalogVals__10UARTsrcSnkFiUi 0 00083195 _CheckParityWord__10UARTsrcSnkFUi 0 00083197 _AreFramesAvail__10UARTsrcSnkFv 0 0008319e _XINT5_ISR 0 000831a8 _XINT4_ISR 0 000831b2 _XINT3_ISR 0 000831bc _XINT2_ISR 0 000831c6 _XINT1_ISR 0 000831d0 _WAKE_ISR 0 000831da _VCU_ISR 0 000831e4 _USER9_ISR 0 000831ee _USER8_ISR 0 000831f8 _USER7_ISR 0 00083202 _USER6_ISR 0 0008320c _USER5_ISR 0 00083216 _USER4_ISR 0 00083220 _USER3_ISR 0 0008322a _USER2_ISR 0 00083234 _USER1_ISR 0 0008323e _USER12_ISR 0 00083248 _USER11_ISR 0 00083252 _USER10_ISR 0 0008325c _USBA_ISR 0 00083266 _UPPA_ISR 0 00083270 _TIMER2_ISR 0 0008327a _TIMER1_ISR 0 00083284 _TIMER0_ISR 0 0008328e _SYS_PLL_SLIP_ISR 0 00083298 _SPIC_TX_ISR 0 000832a2 _SPIC_RX_ISR 0 000832ac _SPIB_TX_ISR 0 000832b6 _SPIB_RX_ISR 0 000832c0 _SPIA_TX_ISR 0 000832ca _SPIA_RX_ISR 0 000832d4 _SD2_ISR 0 000832de _SD1_ISR 0 000832e8 _SCID_TX_ISR 0 000832f2 _SCID_RX_ISR 0 000832fc _SCIC_TX_ISR 0 00083306 _SCIC_RX_ISR 0 00083310 _SCIB_TX_ISR 0 0008331a _SCIB_RX_ISR 0 00083324 _SCIA_TX_ISR 0 0008332e _SCIA_RX_ISR 0 00083338 _RTOS_ISR 0 00083342 _RAM_CORRECTABLE_ERROR_ISR 0 0008334c _RAM_ACCESS_VIOLATION_ISR 0 00083356 _PIE_RESERVED_ISR 0 00083360 _NOTUSED_ISR 0 0008336a _NMI_ISR 0 00083374 _MCBSPB_TX_ISR 0 0008337e _MCBSPB_RX_ISR 0 00083388 _MCBSPA_TX_ISR 0 00083392 _MCBSPA_RX_ISR 0 0008339c _IPC3_ISR 0 000833a6 _IPC2_ISR 0 000833b0 _IPC1_ISR 0 000833ba _IPC0_ISR 0 000833c4 _ILLEGAL_ISR 0 000833ce _I2CB_ISR 0 000833d8 _I2CB_FIFO_ISR 0 000833e2 _I2CA_ISR 0 000833ec _I2CA_FIFO_ISR 0 000833f6 _FPU_UNDERFLOW_ISR 0 00083400 _FPU_OVERFLOW_ISR 0 0008340a _FLASH_CORRECTABLE_ERROR_ISR 0 00083414 _EQEP3_ISR 0 0008341e _EQEP2_ISR 0 00083428 _EQEP1_ISR 0 00083432 _EPWM9_TZ_ISR 0 0008343c _EPWM9_ISR 0 00083446 _EPWM8_TZ_ISR 0 00083450 _EPWM8_ISR 0 0008345a _EPWM7_TZ_ISR 0 00083464 _EPWM7_ISR 0 0008346e _EPWM6_TZ_ISR 0 00083478 _EPWM6_ISR 0 00083482 _EPWM5_TZ_ISR 0 0008348c _EPWM5_ISR 0 00083496 _EPWM4_TZ_ISR 0 000834a0 _EPWM4_ISR 0 000834aa _EPWM3_TZ_ISR 0 000834b4 _EPWM3_ISR 0 000834be _EPWM2_TZ_ISR 0 000834c8 _EPWM2_ISR 0 000834d2 _EPWM1_TZ_ISR 0 000834dc _EPWM1_ISR 0 000834e6 _EPWM12_TZ_ISR 0 000834f0 _EPWM12_ISR 0 000834fa _EPWM11_TZ_ISR 0 00083504 _EPWM11_ISR 0 0008350e _EPWM10_TZ_ISR 0 00083518 _EPWM10_ISR 0 00083522 _EMU_ISR 0 0008352c _EMPTY_ISR 0 00083539 _EMIF_ERROR_ISR 0 00083543 _ECAP6_ISR 0 0008354d _ECAP5_ISR 0 00083557 _ECAP4_ISR 0 00083561 _ECAP3_ISR 0 0008356b _ECAP2_ISR 0 00083575 _ECAP1_ISR 0 0008357f _DMA_CH6_ISR 0 00083589 _DMA_CH5_ISR 0 00083593 _DMA_CH4_ISR 0 0008359d _DMA_CH3_ISR 0 000835a7 _DMA_CH2_ISR 0 000835b1 _DMA_CH1_ISR 0 000835bb _DATALOG_ISR 0 000835c5 _CLA_UNDERFLOW_ISR 0 000835cf _CLA_OVERFLOW_ISR 0 000835d9 _CLA1_8_ISR 0 000835e3 _CLA1_7_ISR 0 000835ed _CLA1_6_ISR 0 000835f7 _CLA1_5_ISR 0 00083601 _CLA1_4_ISR 0 0008360b _CLA1_3_ISR 0 00083615 _CLA1_2_ISR 0 0008361f _CLA1_1_ISR 0 00083629 _CANB1_ISR 0 00083633 _CANB0_ISR 0 0008363d _CANA1_ISR 0 00083647 _CANA0_ISR 0 00083651 _AUX_PLL_SLIP_ISR 0 0008365b _ADCD_EVT_ISR 0 00083665 _ADCD4_ISR 0 0008366f _ADCD3_ISR 0 00083679 _ADCD2_ISR 0 00083683 _ADCD1_ISR 0 0008368d _ADCC_EVT_ISR 0 00083697 _ADCC4_ISR 0 000836a1 _ADCC3_ISR 0 000836ab _ADCC2_ISR 0 000836b5 _ADCC1_ISR 0 000836bf _ADCB_EVT_ISR 0 000836c9 _ADCB4_ISR 0 000836d3 _ADCB3_ISR 0 000836dd _ADCB2_ISR 0 000836e7 _ADCB1_ISR 0 000836fb _ADCA_EVT_ISR 0 00083705 _ADCA4_ISR 0 0008370f _ADCA3_ISR 0 00083719 _ADCA2_ISR 0 00083723 _ADCA1_ISR 0 0008372d _SysXtalOscSel 0 00083739 _SysIntOsc2Sel 0 00083743 _SysIntOsc1Sel 0 0008374d _ServiceDog 0 0008375b _SeizeFlashPump_Bank1 0 0008376d _SeizeFlashPump_Bank0 0 0008377f _STANDBY 0 0008378a _ReleaseFlashPump 0 00083794 _InitSysPll 0 00083853 _DisableDog 0 00083860 _InitPeripheralClocks 0 00083909 _InitSysCtrl 0 00083951 _AuxAuxClkSel 0 0008395b _AuxXtalOscSel 0 0008396c _AuxIntOsc2Sel 0 00083979 _InitAuxPll 0 00083a45 _IDLE 0 00083a4e _DisablePeripheralClocks 0 00083a6b _HIB 0 00083a7f _HALT 0 00083a90 _CsmUnlock 0 00083ab1 ___dt__10ADC_SourceFv 0 00083ab9 _InitAdc__10ADC_SourceFv 0 00083cb1 ___ct__10ADC_SourceFv 0 00083cc4 _StartCycle__10ADC_SourceFv 0 00083cc7 _GetSpecificAdcUref__10ADC_SourceFUi 0 00083cd2 _GetSpecificAdcIref__10ADC_SourceFUi 0 00083cdd _GetNxtAdcUref__10ADC_SourceFv 0 00083ce8 _GetNxtAdcIref__10ADC_SourceFv 0 00083cf3 _InitGpio 0 00083d2a _GPIO_WritePin 0 00083d44 _GPIO_SetupXINT5Gpio 0 00083d4b _GPIO_SetupXINT4Gpio 0 00083d52 _GPIO_SetupXINT3Gpio 0 00083d59 _GPIO_SetupXINT2Gpio 0 00083d60 _GPIO_SetupXINT1Gpio 0 00083d67 _GPIO_SetupPinOptions 0 00083dee _GPIO_SetupPinMux 0 00083e43 _GPIO_SetupLock 0 00083e65 _GPIO_ReadPin 0 00083e79 _GPIO_EnableUnbondedIOPullupsFor176Pin 0 00083e92 _GPIO_EnableUnbondedIOPullupsFor100Pin 0 00083eb7 _GPIO_EnableUnbondedIOPullups 0 00083ecf ___dt__10SysCommonsFv 0 00083ed7 ___ct__10SysCommonsFv 0 00083f0b _SetToTolMode__10SysCommonsFv 0 00083f0f _SetToPWMmode__10SysCommonsFv 0 00083f12 _SetPosPortAndPin__10SysCommonsFPUiUi 0 00083f16 _SetPosPortAndPinFeedBack__10SysCommonsFPUiUi 0 00083f1d _SetPosPinLow__10SysCommonsFv 0 00083f27 _SetPosPinHigh__10SysCommonsFv 0 00083f30 _SetNegPortAndPin__10SysCommonsFPUiUi 0 00083f37 _SetNegPortAndPinFeedBack__10SysCommonsFPUiUi 0 00083f3e _SetNegPinLow__10SysCommonsFv 0 00083f49 _SetNegPinHigh__10SysCommonsFv 0 00083f53 _SetAvailableFlag__10SysCommonsFv 0 00083f57 _RemOfstFromCur__10SysCommonsFUi 0 00083f5a _PutPosZwKrsRef__10SysCommonsFPUi 0 00083f5c _PutNegZwKrsRef__10SysCommonsFPUi 0 00083f5e _PutActualUeffSollDigit__10SysCommonsFUi 0 00083f61 _PutActualIeffSollDigit__10SysCommonsFi 0 00083f64 _PutActualIbandDigit__10SysCommonsFi 0 00083f67 _GetPosZwKrsRawVal__10SysCommonsFv 0 00083f6a _GetPosPinFeedBack__10SysCommonsFv 0 00083f76 _GetPosPinCmd__10SysCommonsFv 0 00083f7b _GetNegZwKrsRawVal__10SysCommonsFv 0 00083f7e _GetNegPinFeedBack__10SysCommonsFv 0 00083f8a _GetNegPinCmd__10SysCommonsFv 0 00083f92 _GetMode__10SysCommonsFv 0 00083f95 _GetAvailableFlag__10SysCommonsFv 0 00083f98 _GetActualUeffSollDigit__10SysCommonsFv 0 00083f9b _GetActualIeffSollDigit__10SysCommonsFv 0 00083f9e _GetActualIbandDigit__10SysCommonsFv 0 00083fa1 _ClrAvailableFlag__10SysCommonsFv 0 00083fa4 _CalcVoltageFromAdcDigits__10SysCommonsFUi 0 00083fba _CalcIpercFromSgndAdcDigits__10SysCommonsFi 0 00083fca C$$EXIT 0 00083fca _abort 0 00083fcc _exit 0 00083ff3 __register_unlock 0 00083ff7 __register_lock 0 00083ffb __nop 0 00083ffc ___pure_virtual_called 0 00084000 _free 0 00084044 _minit 0 00084069 _malloc 0 000840c7 _realloc 0 00084179 _max_free 0 0008419b _free_memory 0 000841b9 _chkheap 0 000841bb _calloc 0 000841d2 ___dt__9TransformFv 0 000841da _NullMovAvrg__9TransformFv 0 000841e2 ___ct__9TransformFv 0 00084221 _PLLcontroler__9TransformFdN21 0 00084224 _MovAvrg__9TransformFd 0 0008424b _IsPllSynced__9TransformFv 0 00084251 _Integrator__9TransformFd 0 00084276 _CalcCurrentPhase__9TransformFdN21 0 0008429c _Derive__9TransformFd 0 000842c1 _GetNextPhaseVal__9TransformFdN21 0 00084368 ___dt__14ThreePhaseBaseFv 0 00084379 ___ct__14ThreePhaseBaseFv 0 000843a4 _SetCosPhiSoll__14ThreePhaseBaseFi 0 000843a7 _RunRmsFilter__14ThreePhaseBaseFv 0 0008442a _PutUref__14ThreePhaseBaseFPUi 0 00084435 _PutNxtPwmRegRef__14ThreePhaseBaseFPVUl 0 00084440 _PutIref__14ThreePhaseBaseFPUi 0 0008444b _PutDutyCyclesToPwmRegs__14ThreePhaseBaseFUlN21 0 0008445e _PutDACval__14ThreePhaseBaseFUii 0 00084489 _PutDACref__14ThreePhaseBaseFPUi 0 00084494 _GetUrmsAdc__14ThreePhaseBaseFUi 0 00084496 _GetUrawAdc__14ThreePhaseBaseFUi 0 0008449f _GetPwmRegRef__14ThreePhaseBaseFUi 0 000844a7 _GetPeffAdcMeas__14ThreePhaseBaseFv 0 000844aa _GetPblindAdcMeas__14ThreePhaseBaseFv 0 000844ad _GetIrmsAdc__14ThreePhaseBaseFUi 0 000844b0 _GetFrqMeas__14ThreePhaseBaseFv 0 000844b2 _GetCosPhiSoll__14ThreePhaseBaseFv 0 000844b5 _GetCosPhiProces__14ThreePhaseBaseFv 0 000844b8 _GetCosPhiMeas__14ThreePhaseBaseFv 0 000844bb _CalcSineFormEffFactor__14ThreePhaseBaseFiT1 0 000844d7 _CalcSinPwm__14ThreePhaseBaseFdT1 0 000844f6 ___dt__7PWMsinkFv 0 000844fe _InitEPWMmodules__7PWMsinkFv 0 00084644 ___ct__7PWMsinkFv 0 00084653 _GetPWMregRef__7PWMsinkFUi 0 0008465a _GetNextPWMregRef__7PWMsinkFv 0 00084664 _epwm2_tzint_isr__Fv 0 000846a8 _epwm1_tzint_isr__Fv 0 000846be _cpu_timer0_isr__Fv 0 000847c6 _CalAdcINL 0 000847fb _AdcSetMode 0 0008489a ___dt__17ThreePhsSysOutputFv 0 000848ab ___ct__17ThreePhsSysOutputFv 0 000848c9 _SetUsoll__17ThreePhsSysOutputFUi 0 000848d0 _SetUsollEffAdcDgts__17ThreePhsSysOutputFUi 0 000848d7 _CreateThrePhsePWMsine__17ThreePhsSysOutputFd 0 00084959 ___dt__12OnePhaseBaseFv 0 0008496a ___ct__12OnePhaseBaseFv 0 00084981 _SetPwm__12OnePhaseBaseFUi 0 00084986 _Run__12OnePhaseBaseFv 0 0008498e _PutUref__12OnePhaseBaseFPUi 0 00084991 _PutPwmRegRef__12OnePhaseBaseFPVUl 0 00084994 _PutIref__12OnePhaseBaseFPUi 0 00084997 _PutDAC_I_Set__12OnePhaseBaseFPUi 0 0008499a _PutDAC_I_Set_Val__12OnePhaseBaseFi 0 000849ac _PutDAC_I_Band__12OnePhaseBaseFPUi 0 000849af _PutDAC_I_Band_Val__12OnePhaseBaseFUi 0 000849b3 _GetZwKrsU__12OnePhaseBaseFv 0 000849d2 _GetU__12OnePhaseBaseFv 0 000849e7 _GetRawUadc__12OnePhaseBaseFv 0 000849eb _GetRawIadc__12OnePhaseBaseFv 0 000849ef _GetPwmRegRef__12OnePhaseBaseFv 0 000849f2 _GetIinPerc__12OnePhaseBaseFv 0 00084a16 ___dt__11IO_ExpanderFv 0 00084a1e ___ct__11IO_ExpanderFUiUcN42 0 00084a64 _WritePort__11IO_ExpanderFUi 0 00084a77 _WriteDevice__11IO_ExpanderFv 0 00084a86 _StartReadDevice__11IO_ExpanderFv 0 00084a91 _SetPin__11IO_ExpanderFUiT1 0 00084a92 _PinNbrToBitMsk__11IO_ExpanderFUi 0 00084a96 _GetOutPort__11IO_ExpanderFUi 0 00084a9c _GetInPort__11IO_ExpanderFUi 0 00084aa2 _FinishReadDevice__11IO_ExpanderFv 0 00084aa9 _FinishReadDevice2__11IO_ExpanderFv 0 00084acb _ClearPin__11IO_ExpanderFUiT1 0 00084acc _adcAint 0 00084b7e _spiAint 0 00084c30 ___dt__7DACSinkFv 0 00084c38 _Init__7DACSinkFv 0 00084c99 ___ct__7DACSinkFv 0 00084cad _StartCycle__7DACSinkFv 0 00084cb0 _GetSpec_i_Set__7DACSinkFUi 0 00084cb7 _GetSpec_i_Band__7DACSinkFUi 0 00084cbe _GetNxt_i_Set__7DACSinkFv 0 00084cc9 _GetNxt_i_Band__7DACSinkFv 0 00084cd4 _TxInt 0 00084d44 _RxInt 0 00084d71 _TransfHwfifoToSwBuf 0 00084d85 _StartTrans 0 00084dd4 _PutCharsPhy 0 00084de9 _SendCharsPhy 0 00084dee _SendCharPhy 0 00084dfa _ResetTX 0 00084dff _PutCharPhy 0 00084e0b ___dt__22ThreePhsSysCoordinatorFv 0 00084e13 ___ct__22ThreePhsSysCoordinatorFv 0 00084e33 _SetUsollOut__22ThreePhsSysCoordinatorFi 0 00084e37 _SetMyOutputSys__22ThreePhsSysCoordinatorFP17ThreePhsSysOutput 0 00084e39 _SetMyInputSys__22ThreePhsSysCoordinatorFP16ThreePhsSysInput 0 00084e3b _RunCoordinator__22ThreePhsSysCoordinatorFv 0 00084e63 _OpenPreLoadZwKrs__22ThreePhsSysCoordinatorFv 0 00084e68 _OpenPV1_P__22ThreePhsSysCoordinatorFv 0 00084e6d _OpenPV1_PN__22ThreePhsSysCoordinatorFv 0 00084e72 _OpenPV1_N__22ThreePhsSysCoordinatorFv 0 00084e77 _OpenGridCon__22ThreePhsSysCoordinatorFv 0 00084e7c _GetZwKrsPreLoadPort__22ThreePhsSysCoordinatorFv 0 00084e7e _GetZwKrsPreLoadPin__22ThreePhsSysCoordinatorFv 0 00084e80 _ClosePreLoadZwKrs__22ThreePhsSysCoordinatorFv 0 00084e85 _ClosePV1_P__22ThreePhsSysCoordinatorFv 0 00084e8a _ClosePV1_PN__22ThreePhsSysCoordinatorFv 0 00084e8f _ClosePV1_N__22ThreePhsSysCoordinatorFv 0 00084e94 _CloseGridCon__22ThreePhsSysCoordinatorFv 0 00084e99 _WriteDACtoFIFO 0 00084f03 _StartDACcycle 0 00084f23 FS$$DIV 0 00084fab ___dt__16ThreePhsSysInputFv 0 00084fc3 ___ct__16ThreePhsSysInputFv 0 00084fe0 _SetRmsIsollInPerc__16ThreePhsSysInputFi 0 00084ff8 _SetIsollEffAdcDgts__16ThreePhsSysInputFUi 0 00084fff _RunThreePhaseInput__16ThreePhsSysInputFd 0 00085000 _RunRefSys__16ThreePhsSysInputFv 0 0008501e _IsPhaseLocked__16ThreePhsSysInputFv 0 00085025 _CalcSineIPoint__Ff 0 00085026 ___dt__7EXT_ADCFv 0 0008502e ___ct__7EXT_ADCFUc 0 00085049 _TransferRes__7EXT_ADCFv 0 00085069 _StartReadDevice__7EXT_ADCFv 0 00085073 _GetSpecChnl__7EXT_ADCFUi 0 0008507d _FinishReadDevice__7EXT_ADCFv 0 00085084 ___dt__16EXT_ADC_MAX11605Fv 0 0008508c ___ct__16EXT_ADC_MAX11605FUc 0 000850a7 _TransferRes__16EXT_ADC_MAX11605Fv 0 000850c1 _StartReadDevice__16EXT_ADC_MAX11605Fv 0 000850cb _GetSpecChnl__16EXT_ADC_MAX11605FUi 0 000850d5 _FinishReadDevice__16EXT_ADC_MAX11605Fv 0 000850dc _c_int00 0 00085132 _copy_in 0 00085178 _InitPieCtrl 0 00085197 _EnableInterrupts 0 000851a0 ___dt__14OnePhsSysInputFv 0 000851b1 ___ct__14OnePhsSysInputFv 0 000851c3 I$$DIV 0 000851d4 I$$MOD 0 000851e5 ___nw__FUl 0 00085205 _memcpy 0 00085223 _ddcopy 0 00085223 _dpcopy 0 00085223 _pdcopy 0 00085223 _ppcopy 0 0008523d _StartCon 0 00085256 __args_main 0 0008526f _InitPieVectTable 0 00085280 ___dl__FPv 0 00085285 ___abort_execution 0 00085288 __system_pre_init 0 0008528a ___default_new_handler__Fv 0 0008528b __system_post_cinit 0 00086000 _RamfuncsLoadStart 0 00086094 _RamfuncsLoadEnd 0 00090000 _PieVectTableInit 0 000901c0 ___vtbl__10SysCommons 0 000902b0 ___vtbl__12OnePhaseBase 0 00090328 ___vtbl__14OnePhsSysInput 0 000903a0 ___vtbl__14ThreePhaseBase 0 00090418 ___vtbl__16ThreePhsSysInput 0 00090490 ___vtbl__17ThreePhsSysOutput 0 000905f8 ___vtbl__10ADC_Source 0 00090600 ___vtbl__10UARTsrcSnk 0 00090608 ___vtbl__11IO_Expander 0 00090610 ___vtbl__16EXT_ADC_MAX11605 0 00090618 ___vtbl__22ThreePhsSysCoordinator 0 00090620 ___vtbl__7DACSink 0 00090628 ___vtbl__7EXT_ADC 0 00090630 ___vtbl__7PWMsink 0 00090638 ___vtbl__9Transform 1 00000b00 _AdcaResultRegs 1 00000b20 _AdcbResultRegs 1 00000b40 _AdccResultRegs 1 00000b60 _AdcdResultRegs 1 00000c00 _CpuTimer0Regs 1 00000c08 _CpuTimer1Regs 1 00000c10 _CpuTimer2Regs 1 00000ce0 _PieCtrlRegs 1 00000d00 _EmuKey 1 00000d00 _PieVectTable 1 00000d01 _EmuBMode 1 00004000 _EPwm1Regs 1 00004100 _EPwm2Regs 1 00004200 _EPwm3Regs 1 00004300 _EPwm4Regs 1 00004400 _EPwm5Regs 1 00004500 _EPwm6Regs 1 00004600 _EPwm7Regs 1 00004700 _EPwm8Regs 1 00004800 _EPwm9Regs 1 00004900 _EPwm10Regs 1 00004a00 _EPwm11Regs 1 00004b00 _EPwm12Regs 1 00005e00 _Sdfm1Regs 1 00005e80 _Sdfm2Regs 1 00006040 _McbspbRegs 1 00006100 _SpiaRegs 1 00006200 _UppRegs 1 00007000 _WdRegs 1 00007060 _NmiIntruptRegs 1 00007070 _XintRegs 1 00007220 _ScicRegs 1 00007300 _I2caRegs 1 00007340 _I2cbRegs 1 00007400 _AdcaRegs 1 00007480 _AdcbRegs 1 00007500 _AdccRegs 1 00007580 _AdcdRegs 1 00007900 _InputXbarRegs 1 00007940 _SyncSocRegs 1 00007a80 _OutputXbarRegs 1 00007c00 _GpioCtrlRegs 1 00007f00 _GpioDataRegs 1 0000a800 __sys_memory 1 0000ac00 _writeHead 1 0000ac01 _writeTail 1 0000ac02 _readTail 1 0000ac03 _readHead 1 0000ac04 _uartActive 1 0000ac40 _RxRingBuffer 1 0000ad40 _TxRingBuffer 1 0000ae08 _adcACycle 1 0000ae09 _currentGroup 1 0000ae0a _adc_I 1 0000ae16 _adc_U 1 0000ae22 _dacSel 1 0000ae23 _dacChnlLoctr 1 0000ae24 _currentDACgrp 1 0000ae25 _fakeDacVal 1 0000ae26 _wordHalf 1 0000ae2a _i_Set 1 0000ae2f _i_Band 1 0000ae4a _DigitalMeasure 1 0000ae4c _DigitalReadWrite 1 0000ae4e _NumericReadWrite 1 0000ae51 _intFlag 1 0000ae53 _slowBlink 1 0000ae54 _NummericMeasure 1 0000ae56 _aOs 1 0000ae58 _ioE 1 0000ae5a _portPtrOut 1 0000ae5c _extDacPtr 1 0000ae5e _di 1 0000ae60 _p2 1 0000ae62 _isl 1 0000ae64 _grid 1 0000ae66 _extAdcPtr 1 0000ae6a _portPtrInIso 1 0000ae6c _portPtrIn 1 0000ae6e _tPsCptr 1 0000ae70 _portPtrOutIso 1 0000ae72 _ioEIso 1 0000ae74 _adcSptr 1 0000ae76 _dacSptr 1 0000ae78 _ePwm_Regs_Ptr_1 1 0000ae7a _ePwm_Regs_Ptr_2 1 0000ae7c _p1 1 0000ae7e _extAdc11605Ptr 1 0000ae80 _urtPtr 1 0000ae82 _bat 1 0000ae84 _EPwm2TZIntCount 1 0000ae86 _EPwm1TZIntCount 1 0000ae88 _portsIn 1 0000ae8d _ports 1 0000ae92 _adcDummy 1 0000ae9a _extDacVals 1 0000aea2 _CpuTimer0 1 0000aeaa _modFrame 1 0000aec0 _extAdc_11605_Vals 1 0000aed0 _extAdcVals 1 0000aee0 _fO 1 0000af00 _str 1 0000af40 _inputVector 1 0000afe8 ___TI_enable_exit_profile_output 1 0000afea ___TI_cleanup_ptr 1 0000afec ___TI_dtors_ptr 1 0000aff6 __lock 1 0000aff8 __unlock 1 0000affa __new_handler 1 0000affc _time 1 00012000 __stack 1 00016000 __STACK_END 1 00050024 _FlashPumpSemaphoreRegs 1 0005d000 _DevCfgRegs 1 0005d180 _AnalogSubsysRegs 1 0005d200 _ClkCfgRegs 1 0005d300 _CpuSysRegs 1 0005e608 _RomPrefetchRegs 1 0005f000 _DcsmZ1Regs 1 0005f040 _DcsmZ2Regs 1 0005f400 _MemCfgRegs 1 0005f800 _Flash0CtrlRegs 1 0005fb00 _Flash0EccRegs 1 0005fc00 _Flash1CtrlRegs 1 0005ff00 _Flash1EccRegs abs 00000094 _RamfuncsLoadSize abs 00000094 _RamfuncsRunSize abs 00000400 __SYSMEM_SIZE abs 00004000 __STACK_SIZE abs ffffffff .text abs ffffffff ___TI_pprof_out_hndl abs ffffffff ___TI_prof_data_size abs ffffffff ___TI_prof_data_start abs ffffffff ___binit__ abs ffffffff ___c_args__ abs ffffffff ___etext__ abs ffffffff ___pinit__ abs ffffffff ___text__ abs ffffffff binit abs ffffffff etext abs ffffffff pinit [603 symbols]