This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

Linux/DRA726: Early boot - late attach on DRA726

Part Number: DRA726
Other Parts Discussed in Thread: DRA722, , TPS65090

Tool/software: Linux

I need to connect early with the Cortex M4 on IPU1, we have 5 CAN ports to handle and need a wake up on CAN with one of them. Right now it takes around 11 seconds for the wakeup to be recognized which is about the time that it takes to get to the M4 code.

I don't have quite enough information to fully understand how to implement the Linux portion of things.

I do not see any good information on how to load the module when booting in Falcon mode.


I was looking thru the

processors.wiki.ti.com/.../Early_Boot_and_Late_Attach

There is a problem with the info

   Device Drivers  --->
     Remoteproc Drivers  --->
       <M> OMAP remoteproc support
       [*]   OMAP remoteproc support for IPU
       [*]     Attach to already-running IPU2 (no reset/load/start)
       [*]   OMAP remoteproc support for DSP
       [*]   OMAP remoteproc support for IPU1 (DRA7xx only)
       [ ]     Attach to already-running IPU1 (no reset/load/start)
       [*]   OMAP remoteproc support for DSP2 (DRA7xx only)


Actual possible setting in the kernel

   Device Drivers  --->
     Remoteproc Drivers  --->
       <M> OMAP remoteproc support
       [*]   OMAP remoteproc watchdog timer
       [*]     Attach to already-running IPU2 (no reset/load/start)
       < >   STE-Modem remoteproc support
       < >   TI PRUSS remoteproc support

That is with kernel 4.4.45 coming with the TI 3.02 automotive SDK

The memory carveaout in the dra7xx code in u-boot and the kernel is outside of the memory for our board


Here is the content of my portion of the device tree in the kernel, does it look good?

1M seems a little big, how much does it actually need?


/*
 * Memory reserved for IOMMU table carveout 0xa0000000 for length 0x100000
 * Page Table Address for IPU1   0xa0000000
 */

&reserved_mem {
    latea_pagetbl: late_pgtbl@a0000000 {
                reg = <0x0 0xa0000000 0x0 0x100000>;
        no-map;
        status = "okay";
    };
};

&ipu1 {
    ti,late-attach;
    ti,no-idle-on-init;
    ti,no-reset-on-init;
};

&timer11 {
    ti,late-attach;
    ti,no-idle-on-init;
    ti,no-reset-on-init;
};

&timer7 {
    ti,late-attach;
    ti,no-idle-on-init;
    ti,no-reset-on-init;
};

&timer8 {
    ti,late-attach;
    ti,no-idle-on-init;
    ti,no-reset-on-init;
};

Michel Catudal

ACTIA Corp

  • Pavel,

    Here is a log, it looks like the M4 is reset while it should not be.

    Do you see anything wrong with my settings? Tomorrow I can try a simple firmware to see if it would do the same.

    Perhaps the fact that the configuration doesn't have the options as in the documentation is the problem. If that is the case, how to fix that? What is the alternative to make sure that the M4 is not reset since the code is supposed to be loaded allready?

    I changed the settings to match the one in the evm except that I marked a portion of memory as reserved so the Linux kernel would not wipe it out.

    &reserved_mem {
        latea_pagetbl: late_pgtbl@bfc00000 {
            reg = <0x0 0xbfc00000 0x0 0x100000>;
            no-map;
            status = "okay";
        };
    };

    &reserved_mem {
        latea_for_ipu1: latea_for_ipu1@a0000000 {
            reg = <0x0 0xa0000000 0x0 0x1000000>;
            no-map;
            status = "okay";
        };
    };


    in my include file I have

    /* Define the address to which the IPU1 binary is
     * loaded from persistent storage
     */
    #define IPU1_LOAD_ADDR        (0xa0000000)

    in spl.c I only have IPU1 as listed to be loaded.

    Log :

    U-Boot SPL 2016.05 (Jul 24 2017 - 17:05:04)
    DRA722-GP ES2.0
    Trying to boot from SPI
    reading dra7-ipu1-fw.xem4
    [    0.000000] Booting Linux on physical CPU 0x0
    [    0.000000] Initializing cgroup subsys cpuset
    [    0.000000] Initializing cgroup subsys cpu
    [    0.000000] Initializing cgroup subsys cpuacct
    [    0.000000] Linux version 4.4.45-actia-1 (michelcatudal@mcatu01d) (gcc version 5.3.1 20160113 (Linaro GCC 5.3-2016.02) ) #20 SMP PREEMPT Mon Jul 24 17:14:06 EDT 2017
    [    0.000000] CPU: ARMv7 Processor [412fc0f2] revision 2 (ARMv7), cr=10c5387d
    [    0.000000] CPU: PIPT / VIPT nonaliasing data cache, PIPT instruction cache
    [    0.000000] Machine model: ACTIA Global Display
    [    0.000000] bootconsole [earlycon0] enabled
    [    0.000000] Reserved memory: created CMA memory pool at 0x9d000000, size 32 MiB
    [    0.000000] Reserved memory: initialized node ipu1_cma@9d000000, compatible id shared-dma-pool
    [    0.000000] cma: Reserved 64 MiB at 0xbbc00000
    [    0.000000] Memory policy: Data cache writealloc
    [    0.000000] OMAP4: Map 0xbfe00000 to fe600000 for dram barrier
    [    0.000000] On node 0 totalpages: 257280
    [    0.000000] free_area_init_node: node 0, pgdat c08bb980, node_mem_map ef6e8000
    [    0.000000]   Normal zone: 1728 pages used for memmap
    [    0.000000]   Normal zone: 0 pages reserved
    [    0.000000]   Normal zone: 192512 pages, LIFO batch:31
    [    0.000000]   HighMem zone: 64768 pages, LIFO batch:15
    [    0.000000] DRA722 ES2.0
    [    0.000000] PERCPU: Embedded 12 pages/cpu @ef68d000 s18944 r8192 d22016 u49152
    [    0.000000] pcpu-alloc: s18944 r8192 d22016 u49152 alloc=12*4096
    [    0.000000] pcpu-alloc: [0] 0
    [    0.000000] Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 255552
    [    0.000000] Kernel command line: elevator=noop console=ttyO2,115200n8 root=/dev/mmcblk1p2 rw rootwait earlyprintk loglevel=15 fixrtc omapdrm.num_crtc=2 consoleblank=0  cma=64M rootfstype=ext4
    [    0.000000] PID hash table entries: 4096 (order: 2, 16384 bytes)
    [    0.000000] Dentry cache hash table entries: 131072 (order: 7, 524288 bytes)
    [    0.000000] Inode-cache hash table entries: 65536 (order: 6, 262144 bytes)
    [    0.000000] Memory: 911180K/1029120K available (6099K kernel code, 317K rwdata, 2168K rodata, 332K init, 289K bss, 19636K reserved, 98304K cma-reserved, 193536K highmem)
    [    0.000000] Virtual kernel memory layout:
    [    0.000000]     vector  : 0xffff0000 - 0xffff1000   (   4 kB)
    [    0.000000]     fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
    [    0.000000]     vmalloc : 0xf0800000 - 0xff800000   ( 240 MB)
    [    0.000000]     lowmem  : 0xc0000000 - 0xf0000000   ( 768 MB)
    [    0.000000]     pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
    [    0.000000]     modules : 0xbf000000 - 0xbfe00000   (  14 MB)
    [    0.000000]       .text : 0xc0008000 - 0xc081afcc   (8268 kB)
    [    0.000000]       .init : 0xc081b000 - 0xc086e000   ( 332 kB)
    [    0.000000]       .data : 0xc086e000 - 0xc08bd490   ( 318 kB)
    [    0.000000]        .bss : 0xc08bf000 - 0xc09077c4   ( 290 kB)
    [    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=1, Nodes=1
    [    0.000000] Preemptible hierarchical RCU implementation.
    [    0.000000]  Build-time adjustment of leaf fanout to 32.
    [    0.000000]  RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=1.
    [    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=1
    [    0.000000] NR_IRQS:16 nr_irqs:16 16
    [    0.000000] ti_dt_clocks_register: failed to lookup clock node gmac_gmii_ref_clk_div
    [    0.000000] OMAP clockevent source: timer1 at 32786 Hz
    [    0.000000] Architected cp15 timer(s) running at 6.14MHz (phys).
    [    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x16af5adb9, max_idle_ns: 440795202250 ns
    [    0.000004] sched_clock: 56 bits at 6MHz, resolution 162ns, wraps every 4398046511023ns
    [    0.008312] Switching to timer-based delay loop, resolution 162ns
    [    0.014945] clocksource: 32k_counter: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 58327039986419 ns
    [    0.025209] OMAP clocksource: 32k_counter at 32768 Hz
    [    0.030890] Console: colour dummy device 80x30
    [    0.035551] WARNING: Your 'console=ttyO2' has been replaced by 'ttyS2'
    [    0.042346] This ensures that you still see kernel messages. Please
    [    0.048863] update your kernel commandline.
    [    0.053256] Calibrating delay loop (skipped), value calculated using timer frequency.. 12.29 BogoMIPS (lpj=61475)
    [    0.063892] pid_max: default: 32768 minimum: 301
    [    0.068809] Mount-cache hash table entries: 2048 (order: 1, 8192 bytes)
    [    0.075702] Mountpoint-cache hash table entries: 2048 (order: 1, 8192 bytes)
    [    0.083583] Initializing cgroup subsys io
    [    0.087808] Initializing cgroup subsys memory
    [    0.092380] Initializing cgroup subsys devices
    [    0.097032] Initializing cgroup subsys freezer
    [    0.101691] Initializing cgroup subsys perf_event
    [    0.106612] Initializing cgroup subsys pids
    [    0.111014] CPU: Testing write buffer coherency: ok
    [    0.116333] /cpus/cpu@0 missing clock-frequency property
    [    0.121882] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
    [    0.127821] Setting up static identity map for 0x80008340 - 0x800083a0
    [    0.178040] Brought up 1 CPUs
    [    0.181175] SMP: Total of 1 processors activated (12.29 BogoMIPS).
    [    0.187602] CPU: All CPU(s) started in HYP mode.
    [    0.192438] CPU: Virtualization extensions available.
    [    0.198684] devtmpfs: initialized
    [    0.232678] VFP support v0.3: implementor 41 architecture 4 part 30 variant f rev 0
    [    0.241605] omap_hwmod: l3_main_2 using broken dt data from ocp
    [    0.390696] omap_hwmod: i2c1: _wait_target_disable failed
    [    0.462146] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
    [    0.484464] pinctrl core: initialized pinctrl subsystem
    [    0.490850] NET: Registered protocol family 16
    [    0.496579] DMA: preallocated 256 KiB pool for atomic coherent allocations
    [    0.525592] cpuidle: using governor ladder
    [    0.555612] cpuidle: using governor menu
    [    0.567978] OMAP GPIO hardware version 0.1
    [    0.579126] irq: no irq domain found for /ocp/l4@4a000000/scm@2000/pinmux@1400 !
    [    0.605052] No ATAGs?
    [    0.607248] hw-breakpoint: found 5 (+1 reserved) breakpoint and 4 watchpoint registers.
    [    0.615823] hw-breakpoint: maximum watchpoint size is 8 bytes.
    [    0.622293] omap4_sram_init:Unable to allocate sram needed to handle errata I688
    [    0.629973] omap4_sram_init:Unable to get sram pool needed to handle errata I688
    [    0.638159] OMAP DMA hardware revision 0.0
    [    0.728433] omap-dma-engine 4a056000.dma-controller: OMAP DMA engine driver (LinkedList1/2/3 supported)
    [    0.739298] edma 43300000.edma: memcpy is disabled
    [    0.749189] edma 43300000.edma: TI EDMA DMA engine driver
    [    0.758029] omap-iommu 58882000.mmu: 58882000.mmu registered
    [    0.765875] SCSI subsystem initialized
    [    0.771040] palmas 0-0058: Irq flag is 0x00000000
    [    0.797612] palmas 0-0058: Muxing GPIO 51, PWM 0, LED 2
    [    0.846784] omap_i2c 48070000.i2c: bus 0 rev0.12 at 400 kHz
    [    0.853169] omap_i2c 48060000.i2c: bus 2 rev0.12 at 100 kHz
    [    0.859503] omap_i2c 4807a000.i2c: bus 3 rev0.12 at 400 kHz
    [    0.865491] pps_core: LinuxPPS API ver. 1 registered
    [    0.870688] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
    [    0.880165] PTP clock support registered
    [    0.884330] EDAC MC: Ver: 3.0.0
    [    0.894788] omap-mailbox 48840000.mailbox: omap mailbox rev 0x400
    [    0.902148] clocksource: Switched to clocksource arch_sys_counter
    [    0.918418] NET: Registered protocol family 2
    [    0.923527] TCP established hash table entries: 8192 (order: 3, 32768 bytes)
    [    0.930905] TCP bind hash table entries: 8192 (order: 4, 65536 bytes)
    [    0.937740] TCP: Hash tables configured (established 8192 bind 8192)
    [    0.944420] UDP hash table entries: 512 (order: 2, 16384 bytes)
    [    0.950605] UDP-Lite hash table entries: 512 (order: 2, 16384 bytes)
    [    0.957433] NET: Registered protocol family 1
    [    0.972297] RPC: Registered named UNIX socket transport module.
    [    0.978467] RPC: Registered udp transport module.
    [    0.983416] RPC: Registered tcp transport module.
    [    0.988328] RPC: Registered tcp NFSv4.1 backchannel transport module.
    [    0.996047] hw perfevents: enabled with armv7_cortex_a15 PMU driver, 7 counters available
    [    1.006782] futex hash table entries: 256 (order: 2, 16384 bytes)
    [    1.029862] squashfs: version 4.0 (2009/01/31) Phillip Lougher
    [    1.046665] NFS: Registering the id_resolver key type
    [    1.051961] Key type id_resolver registered
    [    1.056378] Key type id_legacy registered
    [    1.060647] ntfs: driver 2.1.32 [Flags: R/O].
    [    1.067492] bounce: pool size: 64 pages
    [    1.071659] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 248)
    [    1.079425] io scheduler noop registered (default)
    [    1.084476] io scheduler deadline registered
    [    1.088969] io scheduler cfq registered
    [    1.095236] ldo4: supplied by vdd_5v0
    [    2.138599] omap_hwmod: i2c1: _wait_target_disable failed
    [    2.404515] clock: dpll_pcie_ref_ck failed transition to 'locked'
    [    2.412240] pinctrl-single 4a003400.pinmux: 282 pins at pa fc003400 size 1128
    [    2.419769] pinctrl-single 4a002e8c.pinmux: 1 pins at pa fc002e8c size 4
    [    2.430019] backlight supply power not found, using dummy regulator
    [    2.491477] Serial: 8250/16550 driver, 10 ports, IRQ sharing disabled
    [    2.501533] 4806a000.serial: ttyS0 at MMIO 0x4806a000 (irq = 299, base_baud = 3000000) is a 8250
    [    2.511590] 4806c000.serial: ttyS1 at MMIO 0x4806c000 (irq = 300, base_baud = 3000000) is a 8250
    [    2.521605] console [ttyS2] disabled
    [    2.525446] 48020000.serial: ttyS2 at MMIO 0x48020000 (irq = 301, base_baud = 3000000) is a 8250
    [    2.534658] console [ttyS2] enabled
    [    2.534658] console [ttyS2] enabled
    [    2.541816] bootconsole [earlycon0] disabled
    [    2.541816] bootconsole [earlycon0] disabled
    [    2.551457] 48066000.serial: ttyS4 at MMIO 0x48066000 (irq = 302, base_baud = 3000000) is a 8250
    [    2.561103] 48424000.serial: ttyS8 at MMIO 0x48424000 (irq = 303, base_baud = 3000000) is a 8250
    [    2.571019] [drm] Initialized drm 1.1.0 20060810
    [    2.576658] ldo5: supplied by vdd_3v3
    [    2.580914] OMAP DSS rev 6.1
    [    2.584743] omapdss_dss 58000000.dss: bound 58001000.dispc (ops dispc_component_ops)
    [    2.680320] loop: module loaded
    [    2.683793] at24 2-0050: 4096 byte 24c32 EEPROM, writable, 32 bytes/write
    [    2.692793] m25p80 spi32766.0: s25fl512s (65536 Kbytes)
    [    2.698109] 8 ofpart partitions found on MTD device spi32766.0
    [    2.704004] Creating 8 MTD partitions on "spi32766.0":
    [    2.709168] 0x000000000000-0x000000040000 : "QSPI.MLO"
    [    2.725267] 0x000000040000-0x000000140000 : "QSPI.u-boot.img"
    [    2.741882] 0x000000140000-0x0000001c0000 : "QSPI.devicetree"
    [    2.758547] 0x0000001c0000-0x000000200000 : "QSPI.u-boot-env"
    [    2.775178] 0x000000200000-0x000000240000 : "QSPI.u-boot-env.redund"
    [    2.792437] 0x000000240000-0x000000a40000 : "QSPI.uImage"
    [    2.808712] 0x000000a40000-0x000000ac0000 : "QSPI.logo"
    [    2.824832] 0x000000ac0000-0x000004000000 : "QSPI.userland"
    [    2.842289] libphy: Fixed MDIO Bus: probed
    [    2.848818] mousedev: PS/2 mouse device common for all mice
    [    2.864991] i2c /dev entries driver
    [    2.873145] ldo1: supplied by vdd_3v3
    [    2.962802] ledtrig-cpu: registered to indicate activity on CPUs
    [    2.970759] NET: Registered protocol family 10
    [    3.002839] sit: IPv6 over IPv4 tunneling driver
    [    3.008043] NET: Registered protocol family 17
    [    3.022222] Key type dns_resolver registered
    [    3.026663] omap_voltage_late_init: Voltage driver support not added
    [    3.042713] Adding alias for supply vdd,cpu0 -> vdd,4a003b20.oppdm
    [    3.048922] Adding alias for supply vbb,cpu0 -> vbb,4a003b20.oppdm
    [    3.072201] smps1: supplied by vdd_3v3
    [    3.076582] Adding alias for supply vdd,cpu0 -> vdd,4a003b20.oppdm
    [    3.092161] Adding alias for supply vbb,cpu0 -> vbb,4a003b20.oppdm
    [    3.103981] Power Management for TI OMAP4+ devices.
    [    3.109069] Registering SWP/SWPB emulation handler
    [    3.129322] dmm 4e000000.dmm: initialized all PAT entries
    [    3.153808] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
    [    3.160450] [drm] No driver support for vblank timestamp query.
    [    3.174142] [drm] Enabling DMM ywrap scrolling
    [    3.223664] Console: switching to colour frame buffer device 240x45
    [    3.240615] omapdrm omapdrm.0: fb0: omapdrm frame buffer device
    [    3.273007] [drm] Initialized omapdrm 1.0.0 20110917 on minor 0
    [    3.299574] hctosys: unable to open rtc device (rtc0)
    [    3.314593] Waiting for root device /dev/mmcblk1p2...
    [    3.409163] mmc0: host does not support reading read-only switch, assuming write-enable
    [    3.419101] mmc0: new high speed SDHC card at address 59b4
    [    3.435039] mmcblk0: mmc0:59b4 USDU1 15.0 GiB
    [    3.440482]  mmcblk0: p1 p2
    [    3.485792] mmc1: MAN_BKOPS_EN bit is not set
    [    3.493388] mmc1: new high speed MMC card at address 0001
    [    3.509211] mmcblk1: mmc1:0001 Q2J54A 3.59 GiB
    [    3.523995] mmcblk1boot0: mmc1:0001 Q2J54A partition 1 16.0 MiB
    [    3.540163] mmcblk1boot1: mmc1:0001 Q2J54A partition 2 16.0 MiB
    [    3.547156]  mmcblk1: p1 p2
    [    3.669276] EXT4-fs (mmcblk1p2): mounted filesystem with ordered data mode. Opts: (null)
    [    3.677467] VFS: Mounted root (ext4 filesystem) on device 179:18.
    [    3.684374] devtmpfs: mounted
    [    3.687580] Freeing unused kernel memory: 332K (c081b000 - c086e000)
    [    3.693974] This architecture does not have kernel memory protection.
    [    3.831860] systemd[1]: System time before build time, advancing clock.
    [    3.864717] random: systemd: uninitialized urandom read (16 bytes read, 11 bits of entropy available)
    [    3.876613] random: systemd: uninitialized urandom read (16 bytes read, 11 bits of entropy available)
    [    3.893070] systemd[1]: systemd 229 running in system mode. (+PAM -AUDIT -SELINUX +IMA -APPARMOR +SMACK +SYSVINIT +UTMP -LIBCRYPTSETUP -GCRYPT -GNUTLS +ACL +XZ -LZ4 -SECCOMP +BLKID -ELFUTILS +KMOD -IDN)
    [    3.911617] systemd[1]: Detected architecture arm.

    Welcome to Arago master!

    [    3.932871] systemd[1]: Set hostname to <actia-global-display>.
    [    3.964442] random: systemd-sysv-ge: uninitialized urandom read (16 bytes read, 12 bits of entropy available)
    [    3.986918] random: systemd-gpt-aut: uninitialized urandom read (16 bytes read, 13 bits of entropy available)
    [    4.001321] random: systemd-gpt-aut: uninitialized urandom read (16 bytes read, 13 bits of entropy available)
    [    4.019727] random: systemd-sysv-ge: uninitialized urandom read (16 bytes read, 14 bits of entropy available)
    [    4.034618] random: systemd-sysv-ge: uninitialized urandom read (16 bytes read, 15 bits of entropy available)
    [    4.086637] random: systemd: uninitialized urandom read (16 bytes read, 17 bits of entropy available)
    [    4.096200] random: systemd: uninitialized urandom read (16 bytes read, 17 bits of entropy available)
    [    4.106644] random: systemd: uninitialized urandom read (16 bytes read, 17 bits of entropy available)
    [    4.219096] systemd[1]: sysinit.target: Found ordering cycle on sysinit.target/start
    [    4.226994] systemd[1]: sysinit.target: Found dependency on alignment.service/start
    [    4.234739] systemd[1]: sysinit.target: Found dependency on sysinit.target/start
    [    4.242180] systemd[1]: sysinit.target: Breaking ordering cycle by deleting job alignment.service/start
    [    4.251615] systemd[1]: alignment.service: Job alignment.service/start deleted to break ordering cycle starting with sysinit.target/start
    [ SKIP ] Ordering cycle found, skipping alignment.service
    [    4.284122] systemd[1]: Started Dispatch Password Requests to Console Directory Watch.
    [  OK  ] Started Dispatch Password Requests to Console Directory Watch.
    [    4.312449] systemd[1]: Listening on udev Kernel Socket.
    [  OK  ] Listening on udev Kernel Socket.
    [    4.349146] systemd[1]: Listening on Journal Socket (/dev/log).
    [  OK  ] Listening on Journal Socket (/dev/log).
    [    4.372517] systemd[1]: Listening on Journal Socket.
    [  OK  ] Listening on Journal Socket.
    [    4.402449] systemd[1]: Listening on /dev/initctl Compatibility Named Pipe.
    [  OK  ] Listening on /dev/initctl Compatibility Named Pipe.
    [    4.432439] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
    [  OK  ] Started Forward Password Requests to Wall Directory Watch.
    [    4.462291] systemd[1]: Reached target Paths.
    [  OK  ] Reached target Paths.
    [    4.483450] systemd[1]: Created slice System Slice.
    [  OK  ] Created slice System Slice.
    [    4.512881] systemd[1]: Created slice system-getty.slice.
    [  OK  ] Created slice system-getty.slice.
    [    4.546755] systemd[1]: Starting Load Kernel Modules...
             Starting Load Kernel Modules...
    [    4.574903] systemd[1]: Mounting POSIX Message Queue File System...
             Mounting POSIX Message Queue File System...
    [    4.614693] systemd[1]: Starting Starts Psplash Boot screen...
             Starting Starts Psplash Boot screen...
    [    4.644779] systemd[1]: Starting Setup Virtual Console...
             Starting Setup Virtual Console...
    [    4.694789] systemd[1]: Mounting Debug File System...
             Mounting Debug File System...
    [    4.742512] systemd[1]: tmp.mount: Directory /tmp to mount over is not empty, mounting anyway.
    [    4.753314] systemd[1]: Mounting Temporary Directory...
             Mounting Temporary Directory...
    [    4.784709] systemd[1]: Starting Synchronize System and HW clocks...
             Starting Synchronize System and HW clocks...
    [    4.824787] systemd[1]: Starting Remount Root and Kernel File Systems...
             Starting Remount Root and Kernel File Systems...
    [    4.855848] EXT4-fs (mmcblk1p2): re-mounted. Opts: (null)
    [    4.863296] systemd[1]: Reached target Swap.
    [  OK  ] Reached target Swap.
    [    4.893222] systemd[1]: Created slice User and Session Slice.
    [  OK  ] Created slice User and Session Slice.
    [    4.922351] systemd[1]: Reached target Slices.
    [  OK  ] Reached target Slices.
    [    4.944335] systemd[1]: Starting Create list of required static device nodes for the current kernel...
             Starting Create list of required st... nodes for the current kernel...
    [    4.982465] systemd[1]: Listening on Syslog Socket.
    [  OK  ] Listening on Syslog Socket.
    [    5.004229] systemd[1]: Starting Journal Service...
             Starting Journal Service...
    [    5.015920] systemd[1]: Listening on udev Control Socket.
    [  OK  ] Listening on udev Control Socket.
    [    5.042518] systemd[1]: Reached target Remote File Systems.
    [  OK  ] Reached target Remote File Systems.
    [    5.063069] systemd[1]: Created slice system-serial\x2dgetty.slice.
    [  OK  ] Created slice system-serial\x2dgetty.slice.
    [    5.097458] systemd[1]: Mounted POSIX Message Queue File System.
    [  OK  ] Mounted POSIX Message Queue File System.
    [    5.122515] systemd[1]: Mounted Debug File System.
    [  OK  ] Mounted Debug File System.
    [    5.142370] systemd[1]: Mounted Temporary Directory.
    [  OK  ] Mounted Temporary Directory.
    [    5.162551] systemd[1]: Started Journal Service.
    [  OK  ] Started Journal Service.
    [FAILED] Failed to start Load Kernel Modules.
    See 'systemctl status systemd-modules-load.service' for details.
    [  OK  ] Started Setup Virtual Console.
    [FAILED] Failed to start Synchronize System and HW clocks.
    See 'systemctl status sync-clocks.service' for details.
    [  OK  ] Started Remount Root and Kernel File Systems.
    [  OK  ] Started Create list of required sta...ce nodes for the current kernel.
             Starting Create Static Device Nodes in /dev...
             Starting udev Coldplug all Devices...
             Starting Apply Kernel Variables...
             Starting Flush Journal to Persistent Storage...
    [  OK  ] Started Create Static Device Nodes in /dev.
    [  OK  ] Started Apply Kernel Variables.
    [    5.968212] systemd-journald[145]: Received request to flush runtime journal from PID 1
             Starting udev Kernel Device Manager...
    [  OK  ] Reached target Local File Systems (Pre).
             Mounting /var/volatile...
    [  OK  ] Started udev Kernel Device Manager.
    [  OK  ] Mounted /var/volatile.
    [  OK  ] Started Flush Journal to Persistent Storage.
             Starting Load/Save Random Seed...
    [  OK  ] Reached target Local File Systems.
             Starting Create Volatile Files and Directories...
    [  OK  ] Started Load/Save Random Seed.
    [  OK  ] Started Create Volatile Files and Directories.
             Starting Update UTMP about System Boot/Shutdown...
    [  OK  ] Started udev Coldplug all Devices.
    [  OK  ] Found device /dev/ttyS2.
    [    7.006283] omap-des 480a5000.des: OMAP DES hw accel rev: 2.2
    [    7.069686] alg: skcipher: setkey failed on test 5 for ecb-des-omap: flags=100
    [  OK  ] Started Update UTMP about System Boot/Shutdown.
    [    7.096721] [egalax_i2c]:  Driver init done!
    [    7.101157] [egalax_i2c]:  Start probe
    [    7.138776] omap_hwmod: i2c1: _wait_target_disable failed
    [    7.189597] alg: skcipher-ddst: setkey failed on test 5 for ecb-des-omap: flags=100
    [    7.210666] [egalax_i2c]:  IRQ = 139
    [    7.260736] input: eGalax_Touch_Screen as /devices/virtual/input/input0
    [    7.308168] alg: skcipher-ddst: setkey failed on test 5 for ecb-des-omap: flags=100
    [    7.327971] [egalax_i2c]:  Register input device done
    [  OK  ] Reached target System Initialization.
    [    7.373770] [egalax_i2c]:  Request irq(139) gpio(113) with result:0
    [    7.380065] [egalax_i2c]:  I2C probe done
    [  OK  ] Listening on Avahi mDNS/DNS-SD Stack Activation Socket.
    [    7.413266] omap_rng 48090000.rng: OMAP Random Number Generator ver. 20
             Starting sshd.socket.
    [  OK  ] Listening on D-Bus System Message Bus Socket.
    [  OK  ] Listening on RPCbind Server Activation Socket.
    [  OK  ] Started Daily Cleanup of Temporary Directories.
    [  OK  ] Reached target Timers.
    [  OK  ] Listening on sshd.socket.
    [    7.705254] random: nonblocking pool is initialized
    [  OK  ] Reached target Sockets.
    [  OK  ] Reached target Basic System.
    [  OK  ] Started Job spooling tools.
    [  OK  ] Started System Logging Service.
    [  OK  ] Started D-Bus System Message Bus.
    [    8.281254] omap_wdt: OMAP Watchdog Timer Rev 0x01: initial timeout 60 sec
    [    8.320828] EXT4-fs (mmcblk0p2): mounting ext3 file system using the ext4 subsystem
    [    8.369539] omap-sham 4b101000.sham: hw accel on OMAP rev 4.3
             Starting Connection service...
    [    8.466492] FAT-fs (mmcblk1p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
             Starting rc.pvr.service...
    [    8.496309] FAT-fs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
             Starting Permit User Sessions...
             Starting Login Service...
             Starting Avahi mDNS/DNS-SD Stack...
    [    8.604106] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
    [  OK  ] Started Kernel Logging Service.
    [  OK  ] Started Permit User Sessions.
    [    9.220508] omap-aes 4b500000.aes: OMAP AES hw accel rev: 3.3
    [    9.389612] omap-aes 4b700000.aes: OMAP AES hw accel rev: 3.3
    [    9.445855] [drm] Initialized pvr 1.14.3699939 20110701 on minor 1
    [    9.495781] omap-rproc 58820000.ipu: assigned reserved memory node ipu1_cma@9d000000
    [    9.557206]  remoteproc0: 58820000.ipu is available
    [    9.579857] PVR_K: UM DDK-(3699939) and KM DDK-(3699939) match. [ OK ]
    [    9.617672]  remoteproc0: Note: remoteproc is still under development and considered experimental.
    [    9.763773]  remoteproc0: THE BINARY FORMAT IS NOT YET FINALIZED, and backward compatibility isn't yet guaranteed.
    [   10.038588]  remoteproc0: registered virtio0 (type 7)
    [  OK  ] Started rc.pvr.service.
    [   10.990507]  remoteproc0: powering up 58820000.ipu
    [   11.031280]  remoteproc0: Booting fw image dra7-ipu1-fw.xem4, size 5033360
    [   11.085946]  remoteproc0: remote processor 58820000.ipu is now up
    [   11.118679] virtio_rpmsg_bus virtio0: rpmsg host is online
    [   11.124210] ------------[ cut here ]------------
    [   11.124221] WARNING: CPU: 0 PID: 236 at drivers/bus/omap_l3_noc.c:147 l3_interrupt_handler+0x25c/0x368()
    [   11.124225] 44000000.ocp:L3 Custom Error: MASTER IPU1 TARGET L4_PER1_P3 (Idle): Data Access in Supervisor mode during Functional access
    [   11.124265] Modules linked in: virtio_rpmsg_bus(+) dwc3(+) udc_core bc_example(O) ecb omap_remoteproc remoteproc virtio virtio_ring sha512_generic sha512_arm sha256_generic hmac pvrsrvkm(O) md5 sha1_generic sha1_arl
    [   11.124270] CPU: 0 PID: 236 Comm: systemd-udevd Tainted: G           O    4.4.45-actia-1 #20
    [   11.124272] Hardware name: Generic DRA72X (Flattened Device Tree)
    [   11.124275] Backtrace:
    [   11.124287] [<c0013b00>] (dump_backtrace) from [<c0013cfc>] (show_stack+0x18/0x1c)
    [   11.124294]  r7:c02e1548 r6:200f0193 r5:00000000 r4:c089a6cc
    [   11.124301] [<c0013ce4>] (show_stack) from [<c02b77ec>] (dump_stack+0x8c/0xa0)
    [   11.124307] [<c02b7760>] (dump_stack) from [<c0034c38>] (warn_slowpath_common+0x88/0xb8)
    [   11.124313]  r7:c02e1548 r6:00000093 r5:00000009 r4:ee2f1710
    [   11.124317] [<c0034bb0>] (warn_slowpath_common) from [<c0034ca0>] (warn_slowpath_fmt+0x38/0x40)
    [   11.124324]  r8:00000017 r7:c079e6b8 r6:00000000 r5:c079e254 r4:c079e2f8
    [   11.124329] [<c0034c6c>] (warn_slowpath_fmt) from [<c02e1548>] (l3_interrupt_handler+0x25c/0x368)
    [   11.124333]  r3:ef19f880 r2:c079e2f8
    [   11.124335]  r4:80080003
    [   11.124343] [<c02e12ec>] (l3_interrupt_handler) from [<c0081500>] (handle_irq_event_percpu+0xb4/0x160)
    [   11.124350]  r10:c08bc72a r9:ef1986c0 r8:00000017 r7:00000000 r6:00000000 r5:ef198720
    [   11.124352]  r4:ef19fd80
    [   11.124358] [<c008144c>] (handle_irq_event_percpu) from [<c00815ec>] (handle_irq_event+0x40/0x64)
    [   11.124365]  r10:c08e1e20 r9:00000119 r8:ef006000 r7:00000000 r6:c08759b4 r5:ef198720
    [   11.124367]  r4:ef1986c0
    [   11.124372] [<c00815ac>] (handle_irq_event) from [<c008491c>] (handle_fasteoi_irq+0xc0/0x194)
    [   11.124378]  r7:00000000 r6:c08759b4 r5:ef198720 r4:ef1986c0
    [   11.124383] [<c008485c>] (handle_fasteoi_irq) from [<c0080b2c>] (generic_handle_irq+0x2c/0x3c)
    [   11.124388]  r7:00000000 r6:ee2f19e8 r5:00000017 r4:c086a404
    [   11.124394] [<c0080b00>] (generic_handle_irq) from [<c0080e04>] (__handle_domain_irq+0x64/0xbc)
    [   11.124399] [<c0080da0>] (__handle_domain_irq) from [<c00094f0>] (gic_handle_irq+0x40/0x7c)
    [   11.124406]  r9:00000119 r8:fa213000 r7:fa212000 r6:ee2f18d0 r5:fa21200c r4:c08708dc
    [   11.124410] [<c00094b0>] (gic_handle_irq) from [<c00148d4>] (__irq_svc+0x54/0x90)
    [   11.124412] Exception stack(0xee2f18d0 to 0xee2f1918)
    [   11.124416] 18c0:                                     00000000 c08c0240 00000000 00000000
    [   11.124421] 18e0: 00000202 00000012 ee2f0000 00000000 ef006000 00000119 c08e1e20 ee2f197c
    [   11.124424] 1900: ee2f1980 ee2f1920 c0038e28 c0038994 600f0113 ffffffff
    [   11.124432]  r9:00000119 r8:ef006000 r7:ee2f1904 r6:ffffffff r5:600f0113 r4:c0038994
    [   11.124437] [<c0038900>] (__do_softirq) from [<c0038e28>] (irq_exit+0xbc/0x11c)
    [   11.124444]  r10:c08e1e20 r9:00000119 r8:ef006000 r7:00000000 r6:00000000 r5:00000012
    [   11.124446]  r4:ffffe000
    [   11.124451] [<c0038d6c>] (irq_exit) from [<c0080e08>] (__handle_domain_irq+0x68/0xbc)
    [   11.124455]  r5:00000012 r4:c086a404
    [   11.124459] [<c0080da0>] (__handle_domain_irq) from [<c00094f0>] (gic_handle_irq+0x40/0x7c)
    [   11.124467]  r9:00000119 r8:fa213000 r7:fa212000 r6:ee2f19e8 r5:fa21200c r4:c08708dc
    [   11.124470] [<c00094b0>] (gic_handle_irq) from [<c00148d4>] (__irq_svc+0x54/0x90)
    [   11.124472] Exception stack(0xee2f19e8 to 0xee2f1a30)
    [   11.124477] 19e0:                   00000000 00000000 00000001 200f0113 00000000 c08c7ad0
    [   11.124481] 1a00: 00000000 00000006 0000003e 00000119 c08e1e20 ee2f1a94 ee2f1970 ee2f1a38
    [   11.124484] 1a20: c034d430 c007f6e8 600f0113 ffffffff
    [   11.124491]  r9:00000119 r8:0000003e r7:ee2f1a1c r6:ffffffff r5:600f0113 r4:c007f6e8
    [   11.124497] [<c007f378>] (console_unlock) from [<c007fb74>] (vprintk_emit+0x2a8/0x534)
    [   11.124504]  r10:00000000 r9:00000000 r8:00000000 r7:c08c1ea0 r6:c08e47f4 r5:00000001
    [   11.124506]  r4:0000002e
    [   11.124513] [<c007f8cc>] (vprintk_emit) from [<c03b8d3c>] (dev_vprintk_emit+0xa8/0x1d0)
    [   11.124519]  r10:bf185d20 r9:ee2f1bd4 r8:c07b4088 r7:00000006 r6:ee2f1b08 r5:edd39020
    [   11.124521]  r4:00000011
    [   11.124526] [<c03b8c94>] (dev_vprintk_emit) from [<c03b8e8c>] (dev_printk_emit+0x28/0x30)
    [   11.124533]  r10:dd05fe00 r9:024000c0 r8:edd39010 r7:ee2f1c48 r6:00000100 r5:ee3b5d00
    [   11.124535]  r4:00000001
    [   11.124538] [<c03b8e68>] (dev_printk_emit) from [<c03b8f54>] (__dev_printk+0x54/0x94)
    [   11.124542]  r3:bf1ddc88 r2:c07b4088
    [   11.124546] [<c03b8f00>] (__dev_printk) from [<c03b9224>] (_dev_info+0x40/0x48)
    [   11.124560] [<c03b91e8>] (_dev_info) from [<bf1dd52c>] (rpmsg_probe+0x3bc/0x474 [virtio_rpmsg_bus])
    [   11.124565]  r3:00000004 r2:00000000 r1:bf1ddc0c
    [   11.124580] [<bf1dd170>] (rpmsg_probe [virtio_rpmsg_bus]) from [<bf18585c>] (virtio_dev_probe+0x230/0x310 [virtio])
    [   11.124586]  r10:00000000 r9:00000000 r8:00000000 r7:edd39020 r6:edd39010 r5:00000000
    [   11.124588]  r4:00000000
    [   11.124597] [<bf18562c>] (virtio_dev_probe [virtio]) from [<c03bc748>] (driver_probe_device+0x204/0x2f8)
    [   11.124604]  r10:00000000 r9:ebc59c48 r8:0000000e r7:bf1ddd20 r6:00000000 r5:edd39020
    [   11.124606]  r4:c08fb44c
    [   11.124612] [<c03bc544>] (driver_probe_device) from [<c03bc8d0>] (__driver_attach+0x94/0x98)
    [   11.124619]  r9:ebc59c48 r8:143a63dc r7:00000000 r6:edd39054 r5:bf1ddd20 r4:edd39020
    [   11.124624] [<c03bc83c>] (__driver_attach) from [<c03ba9d0>] (bus_for_each_dev+0x70/0xa4)
    [   11.124630]  r7:00000000 r6:c03bc83c r5:bf1ddd20 r4:00000000
    [   11.124635] [<c03ba960>] (bus_for_each_dev) from [<c03bc034>] (driver_attach+0x24/0x28)
    [   11.124640]  r6:bf185d58 r5:edecae80 r4:bf1ddd20
    [   11.124645] [<c03bc010>] (driver_attach) from [<c03bbc70>] (bus_add_driver+0x1a8/0x220)
    [   11.124650] [<c03bbac8>] (bus_add_driver) from [<c03bd070>] (driver_register+0x80/0x100)
    [   11.124656]  r7:ebc59b00 r6:c08736b0 r5:bf1e1000 r4:bf1ddd20
    [   11.124664] [<c03bcff0>] (driver_register) from [<bf185aa4>] (register_virtio_driver+0x30/0x44 [virtio])
    [   11.124667]  r5:bf1e1000 r4:00000000
    [   11.124680] [<bf185a74>] (register_virtio_driver [virtio]) from [<bf1e1044>] (rpmsg_init+0x44/0x84 [virtio_rpmsg_bus])
    [   11.124690] [<bf1e1000>] (rpmsg_init [virtio_rpmsg_bus]) from [<c000988c>] (do_one_initcall+0x98/0x1e4)
    [   11.124693]  r5:bf1e1000 r4:c08736b0
    [   11.124704] [<c00097f4>] (do_one_initcall) from [<c00d19d4>] (do_init_module+0x68/0x394)
    [   11.124711]  r10:bf1dde40 r9:ebc59c48 r8:143a63dc r7:00000001 r6:ebc59b40 r5:00000001
    [   11.124713]  r4:bf1dde40
    [   11.124721] [<c00d196c>] (do_init_module) from [<c00aca10>] (load_module+0x1df8/0x2068)
    [   11.124725]  r6:ebc59c40 r5:00000001 r4:ee2f1f44
    [   11.124731] [<c00aac18>] (load_module) from [<c00ace6c>] (SyS_finit_module+0x88/0x98)
    [   11.124737]  r10:00000000 r9:ee2f0000 r8:c000fe24 r7:0000017b r6:b6e67d90 r5:00000007
    [   11.124740]  r4:00000000
    [   11.124745] [<c00acde4>] (SyS_finit_module) from [<c000fc60>] (ret_fast_syscall+0x0/0x3c)
    [   11.124750]  r6:00000000 r5:7f641928 r4:00000000
    [   11.124752] ---[ end trace 2dd16a2638829d30 ]---
    [   11.784711] virtio_rpmsg_bus virtio0: creating channel rpmsg-proto addr 0x3d
    [  OK  ] Started Connection service.
    [  OK  ] Started Avahi mDNS/DNS-SD Stack.
    [  OK  ] Started Login Service.
             Starting Hostname Service...
    [  OK  ] Reached target Network.
             Starting Network Name Resolution...
    [  OK  ] Created slice system-systemd\x2dbacklight.slice.
             Starting Load/Save Screen Backlight...htness of backlight:backlight...
             Starting tiipclad-daemon.service...
    [  OK  ] Started Getty on tty1.
    [  OK  ] Started Serial Getty on ttyS2.
    [  OK  ] Reached target Login Prompts.
    [  OK  ] Started Network Name Resolution.
    [  OK  ] Started Load/Save Screen Backlight Brightness of backlight:backlight.
    [   13.110575] usbcore: registered new interface driver usbfs
    [  OK  ] Started tiipclad-daemon.service.
    [   13.126918] usbcore: registered new interface driver hub
    [  OK  ] Started Hostname Service.
    [   13.145712] usbcore: registered new device driver usb
    [   13.160085] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
    [   13.180676] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
    [   13.210287] xhci-hcd xhci-hcd.0.auto: hcc params 0x0220f04c hci version 0x100 quirks 0x00210010
    [   13.245237] xhci-hcd xhci-hcd.0.auto: irq 412, io mem 0x48890000
    [   13.267668] hub 1-0:1.0: USB hub found
    [   13.284520] hub 1-0:1.0: 1 port detected
    [   13.301651] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
    [   13.319034] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2
    [   13.354875] usb usb2: We don't know the algorithms for LPM for this host, disabling LPM.
    [   13.384603] hub 2-0:1.0: USB hub found
    [   13.398476] hub 2-0:1.0: 1 port detected
    [   13.411931] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller
    [   13.436846] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 3
             Starting weston.service...
    [   13.468477] xhci-hcd xhci-hcd.1.auto: hcc params 0x0220f04c hci version 0x100 quirks 0x00210010
    [   13.521310] xhci-hcd xhci-hcd.1.auto: irq 413, io mem 0x488d0000
    [  OK  ] Started weston.service.
    [   13.555119] hub 3-0:1.0: USB hub found
             Starting gdbserverproxy.service...[   13.569252] hub 3-0:1.0: 1 port detected

    [   13.593295] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller
    [   13.612212] usb 1-1: new high-speed USB device number 2 using xhci-hcd
    [   13.647203] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 4
    [   13.647295] usb usb4: We don't know the algorithms for LPM for this host, disabling LPM.
    [   13.648418] hub 4-0:1.0: USB hub found
    [   13.648443] hub 4-0:1.0: 1 port detected
    [   13.912438] usb 3-1: new low-speed USB device number 2 using xhci-hcd
    [  OK  ] Started gdbserverproxy.service.
    [  OK  ] Started Starts Psplash Boot screen.
    [   14.078729] usb 3-1: ep 0x81 - rounding interval to 128 microframes, ep desc says 192 microframes
             Starting thermal-zone-init.service...
    [  OK  ] Started thermal-zone-init.service.
    [   14.236408] input: LITEON Technology USB Keyboard as /devices/platform/44000000.ocp/488c0000.omap_dwc3_2/488d0000.usb/xhci-hcd.1.auto/usb3/3-1/3-1:1.0/0003:045E:07B9.0001/input/input1
    [  OK  ] Reached target Multi-User System.
             Starting Update UTMP about System Runlevel Changes...
    [   14.393192] hid-generic 0003:045E:07B9.0001: input: USB HID v1.10 Keyboard [LITEON Technology USB Keyboard] on usb-xhci-hcd.1.auto-1/input0
    [   14.478338] usbcore: registered new interface driver usbhid
    [   14.517235] usbhid: USB HID core driver
    [  OK  ] Started Update UTMP about System Runlevel Changes.
    [   14.744023] asix 1-1:1.0 eth0: register 'asix' at usb-xhci-hcd.0.auto-1, ASIX AX88772B USB 2.0 Ethernet, 00:00:00:03:ff:f9
    [   14.848344] usbcore: registered new interface driver asix
    [   15.060416] NET: Registered protocol family 41
    [   15.573374] IPv6: ADDRCONF(NETDEV_UP): eth0: link is not ready

     
          O       OOOO  OOOOOOO   O       O         ## ## ##
         O O     O         O      O      O O
        O   O    O         O      O     O   O      ## ## ##
       OOOOOOO   O         O      O    OOOOOOO
      O       O   OOOO     O      O   O       O   ## ## ##


    ACTIA Corp http://www.actiaus.com/ actia-global-display ttyS2


    Arago master actia-global-display ttyS2

    actia-global-display login: [   18.731126] IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready
    [   18.737747] asix 1-1:1.0 eth0: link up, 100Mbps, full-duplex, lpa 0x45E1
    [   18.774994] 8021q: 802.1Q VLAN Support v1.8
    [   34.933112] omap-mailbox 48840000.mailbox: Try increasing MBOX_TX_QUEUE_LEN
    [   34.950967] omap-rproc 58820000.ipu: failed to send mailbox message, status = -105
    [   36.019182] omap-mailbox 48840000.mailbox: Try increasing MBOX_TX_QUEUE_LEN
    [   36.035564] omap-rproc 58820000.ipu: failed to send mailbox message, status = -105

    These messages appear every 20 msecs

    Michel

  • Hi Michel,

    To satisfy the startup time requirements of specific use cases, one may need the boot loader to boot a remote core before booting the A15 with the linux kernel. The kernel then attaches to the already booted remote core for further communication. We refer to this feature as the "Early Boot - Late Attach" functionality. The "Early Boot" functionality is provided by the boot loader. The "Late Attach" functionality is a feature of the Linux Kernel.

    From what I understand you have issues in "Early Boot" in boot loader, is that correct? Please provide me the exact steps of how you configure and re-build u-boot for "early boot" functionality enabled.

    Note also that U-Boot has only been updated to load remotecore binaries from the boot partition of the SD card or eMMC. If you desire to load remotecore binaries from other media (e.g. QSPI), the code to load the binaries needs to be added to U-Boot.

    See also if the below pointers will be in help:

    processors.wiki.ti.com/.../Processor_SDK_Linux_Automotive_Software_Developers_Guide

    processors.wiki.ti.com/.../Early_Boot_and_Late_Attach_in_Linux

    www.ti.com/.../sprac82.pdf

    Regards,
    Pavel
  • Pavel Botev said:
    From what I understand you have issues in "Early Boot" in boot loader, is that correct? Please provide me the exact steps of how you configure and re-build u-boot for "early boot" functionality enabled.

    I am using the u-boot and kernel from the automotive SDK 3.02 with appropriated changes in the devicetree to support our board and LCD as well as patches to get the micro SD recognized correctly.

    After it was proven to work flawlessly in booting from QSPI I added support for

    I copied the late attach part from the dra7xx into my ACTIA board directory. In my u-boot the board definitions are not in the TI directory.

    There were enough differences between the automotive EVM and our board that I could not use the EVM stuff as is.

    I tried though to stay as close as possible to the way it is done in the EVM code and online documentation. What puzzled me is the configuration that is pointed to for the kernel doesn't match what can be done. I recall seeing it when working with SDK 2 but not this one.

    I followed the documentation that you pointed to very closely. I haven't looked at changing the place where it reads the IPU1 code from yet. I wanted to test it with what is supported first. Too many changes at once makes debugging more difficult.

    It doesn't appear to have any problem loading the code, how do I know if it is running right after loading? In the log I posted it looks like the core is reset anyway despite the entries in the devicetree. I don't see evidence in the log that it is running prior to that.

    I don't  know if the problem is with Michael's code or the early boot. I probably need to replace his code with the small example from TI first.

    I have this in spl.c

    #ifdef CONFIG_LATE_ATTACH
        u32 cores_to_boot[] = { IPU1 };
    #endif

    For the device tree I imported code from one of the evm dts files

    /*
     * Memory reserved for IOMMU table carveout 0xbfc00000 for length 0x100000
     * Page Table Address for IPU1   0xbfc00000
     */

    &reserved_mem {
        latea_pagetbl: late_pgtbl@bfc00000 {
            reg = <0x0 0xbfc00000 0x0 0x100000>;
            no-map;
            status = "okay";
        };
    };

    &reserved_mem {
        latea_for_ipu1: latea_for_ipu1@a0000000 {
            reg = <0x0 0xa0000000 0x0 0x1000000>;
            no-map;
            status = "okay";
        };
    };

    &ipu1 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &timer11 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &timer7 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &timer8 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &mmu_ipu1{
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    The DDR goes from 0x80000000 to 0xBFFFFFFF, we only have 1G of RAM


    Does it matter in Linux if the reserved blocks are in misc places (meaning not in the same area)?

    Michel


  • Michel Catudal2 said:
    I was looking thru the

    processors.wiki.ti.com/.../Early_Boot_and_Late_Attach

    There is a problem with the info

       Device Drivers  --->
         Remoteproc Drivers  --->
           <M> OMAP remoteproc support
           [*]   OMAP remoteproc support for IPU
           [*]     Attach to already-running IPU2 (no reset/load/start)
           [*]   OMAP remoteproc support for DSP
           [*]   OMAP remoteproc support for IPU1 (DRA7xx only)
           [ ]     Attach to already-running IPU1 (no reset/load/start)
           [*]   OMAP remoteproc support for DSP2 (DRA7xx only)


    Actual possible setting in the kernel

       Device Drivers  --->
         Remoteproc Drivers  --->
           <M> OMAP remoteproc support
           [*]   OMAP remoteproc watchdog timer
           [*]     Attach to already-running IPU2 (no reset/load/start)
           < >   STE-Modem remoteproc support
           < >   TI PRUSS remoteproc support

    That is with kernel 4.4.45 coming with the TI 3.02 automotive SDK

    This wiki page is for old GLSDK. You can use debug hints at the end of that wiki, but linux kernel info is obsolete.

    omap2plus_defconfig was replaced with multi_v7_defconfig from PSDKLA 3.0 onwards, see the below wiki:

    And in PSDKLA 3.2 there is no need to change the linux kernel through menuconfig, late attach is configured through device tree files. See the wiki pages I have provided in my previous post.

    Please try these things and let me know if you have more questions on this issue.

    Regards,
    Pavel

  • Pavel,

    I only load IPU1 from u-boot

    in my 12 inch lcd device tree

        reserved_mem: reserved-memory {
            #address-cells = <2>;
            #size-cells = <2>;
            ranges;

                    ipu2_cma_pool: ipu2_cma@95800000 {
                            compatible = "shared-dma-pool";
                            reg = <0x0 0x95800000 0x0 0x3800000>;
                            reusable;
                            status = "okay";
                    };

                ipu1_cma_pool: ipu1_cma@9d000000 {
                compatible = "shared-dma-pool";
                reg = <0x0 0x9d000000 0x0 0x2000000>;
                reusable;
                status = "okay";
            };

        };

    &mailbox5 {
        status = "okay";
        mbox_ipu1_ipc3x: mbox_ipu1_ipc3x {
        status = "okay";
        };
    };

    &mailbox6 {
           status = "okay";
           mbox_ipu2_ipc3x: mbox_ipu2_ipc3x {
           status = "okay";
           };
    };

    &mmu_ipu1 {
        status = "okay";
    };

    &mmu_ipu2 {
           status = "okay";
    };

    &ipu2 {
           status = "okay";
           memory-region = <&ipu2_cma_pool>;
           mboxes = <&mailbox6 &mbox_ipu2_ipc3x>;
           timers = <&timer3>;
           watchdog-timers = <&timer4>, <&timer9>;
    };

    &ipu1 {
        status = "okay";
        memory-region = <&ipu1_cma_pool>;
        mboxes = <&mailbox5 &mbox_ipu1_ipc3x>;
        timers = <&timer11>;
        watchdog-timers = <&timer7>, <&timer8>;
    };



    My memory reserved area for splash screen

    &reserved_mem {
        splash_region: splash_region@a0000000 {
            reg = <0x0 0xa0000000 0x0 0x1000000>;
            no-map;
            status = "okay";
        };
    };

    Settings for IPU1

    &reserved_mem {
        latea_for_ipu1: latea_for_ipu1@a1000000 {
            reg = <0x0 0xa1000000 0x0 0x1000000>;
            no-map;
            status = "okay";
        };
    };

    &reserved_mem {
        late_pgtbl: late_pgtbl@a2000000 {
            reg = <0x0 0xa2000000 0x0 0x100000>;
            no-map;
            status = "okay";
        };
    };

    &ipu1 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &timer11 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &timer7 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &timer8 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &mmu_ipu1{
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };


    in u-boot lateattach.c

    #define DRA7_PGTBL_BASE_IPU1                 0xa2000000
    #define DRA7_PGTBL_BASE_IPU2                 0xa2008000
    #define DRA7_PGTBL_BASE_DSP1                 0xa2010000

    in the actia include file on include/configs

    /* Define the address to which the IPU1 binary is
     * loaded from persistent storage
     */
    #define IPU1_LOAD_ADDR        (0xa1000000)

    /*
     * Set load address  for each core 8 MB after load
     * address for the previous core
     */
    #define IPU2_LOAD_ADDR        (IPU1_LOAD_ADDR+MAX_REMOTECORE_BIN_SIZE)
    #define DSP1_LOAD_ADDR        (IPU2_LOAD_ADDR+MAX_REMOTECORE_BIN_SIZE)

    DSP1 is not used in linux devicetree, I have it disabled. We do not need the DSP for the current customer

    I am able to load with no problem but the IPU1 code crashes when Linux takes over

    U-Boot SPL 2016.05 (Jul 28 2017 - 07:42:35)
    DRA722-GP ES2.0
    Trying to boot from SPI
    reading dra7-ipu1-fw.xem4
    Core 2 ELF Image is valid
    Configuring IPU
    Configuring IOMMU
    Cleared the page table for MMU
    Configured the IOMMU
    Loading ELF Image
    alloc_mem: count 3 mask 0x1 pageno 0x0
    alloc_mem: count 3 mask 0x1 pageno 0x4
    alloc_mem: count 64 mask 0x3f pageno 0x40
    alloc_mem: count 256 mask 0xff pageno 0x100
    alloc_mem: count 1280 mask 0xff pageno 0x200
    alloc_mem: count 256 mask 0xff pageno 0x700
    Core entry point is 0x00000011
    Starting the remote core
    Loading Logo spl_display_logo MMC
    Enabling DSS Clock domain
    Enabling DSS
    Enabling Video1 PLL
    Enabling I2C1
    Setting up Video PLL
    Enabling LCD
    Setting up Display
    DISPC_CONTROL is 0x00018308
    Leaving spl_display_logo
    CTRL_CORE_DSS_PLL_CONTROL (0x4a002538) = 0x000002A6
    DSS_CTRL (0x58000040) = 0x00010001
    DSS_STATUS (0x5800005C) = 0x01408A82
    DSI_CLK_CTRL (0x58004054) = 0x80004001
    DISPC_IRQSTATUS (0x58001018) = 0x00080022

    Here is what I sent to Nicolas.

    cma doesn't seem to be available for the core until 9.742975 seconds into the boot.
    and IPU1 is powered up which would be contrary to what is stipulated in the device tree

    Shouldn't the system not try to load IPU1 again?


    [    9.742975] omap-rproc 58820000.ipu: assigned reserved memory node ipu1_cma@9d000000
    [    9.750813]  remoteproc0: 58820000.ipu is available
    [    9.842827] PVR_K: UM DDK-(3699939) and KM DDK-(3699939) match. [ OK ]
    [    9.889300]  remoteproc0: Note: remoteproc is still under development and considered experimen.
    [    9.961575]  remoteproc0: THE BINARY FORMAT IS NOT YET FINALIZED, and backward compatibility i.


    [   10.267574]  remoteproc0: registered virtio0 (type 7)
    [  OK  ] Started rc.pvr.service.
    [   10.297865]  remoteproc1: Note: remoteproc is still under development and considered experimen.
    [   10.390049]  remoteproc1: THE BINARY FORMAT IS NOT YET FINALIZED, and backward compatibility i.
    [   10.602507]  remoteproc1: registered virtio1 (type 7)


    [   11.427241]  remoteproc0: powering up 58820000.ipu
    [   11.481741]  remoteproc0: Booting fw image dra7-ipu1-fw.xem4, size 5033360

    [   11.535799]  remoteproc0: remote processor 58820000.ipu is now up
    [   11.570305] virtio_rpmsg_bus virtio0: rpmsg host is online

    &ipu1 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &timer11 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &timer7 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &timer8 {
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    &mmu_ipu1{
        ti,late-attach;
        ti,no-idle-on-init;
        ti,no-reset-on-init;
    };

    [   11.575838] ------------[ cut here ]------------
    [   11.575852] WARNING: CPU: 0 PID: 229 at drivers/bus/omap_l3_noc.c:147 l3_interrupt_handler+0x2)
    [   11.575856] 44000000.ocp:L3 Custom Error: MASTER IPU1 TARGET L4_PER1_P3 (Idle): Data Access ins
    [   11.575896] Modules linked in: virtio_rpmsg_bus(+) dwc3(+) udc_core bc_example(O) ecb omap_reml
    [   11.575901] CPU: 0 PID: 229 Comm: systemd-udevd Tainted: G           O    4.4.45-actia-2 #14
    [   11.575904] Hardware name: Generic DRA72X (Flattened Device Tree)
    [   11.575907] Backtrace:
    [   11.575918] [<c0013b00>] (dump_backtrace) from [<c0013cfc>] (show_stack+0x18/0x1c)
    [   11.575926]  r7:c02f1408 r6:20070193 r5:00000000 r4:c089278c
    [   11.575933] [<c0013ce4>] (show_stack) from [<c02c76ac>] (dump_stack+0x8c/0xa0)
    [   11.575938] [<c02c7620>] (dump_stack) from [<c0034bd0>] (warn_slowpath_common+0x88/0xb8)
    [   11.575945]  r7:c02f1408 r6:00000093 r5:00000009 r4:ee157710
    [   11.575948] [<c0034b48>] (warn_slowpath_common) from [<c0034c38>] (warn_slowpath_fmt+0x38/0x40)
    [   11.575955]  r8:00000017 r7:c079abc4 r6:00000000 r5:c079a760 r4:c079a804
    [   11.575961] [<c0034c04>] (warn_slowpath_fmt) from [<c02f1408>] (l3_interrupt_handler+0x25c/0x3)
    [   11.575965]  r3:ef1a23c0 r2:c079a804
    [   11.575967]  r4:80080003
    [   11.575976] [<c02f11ac>] (l3_interrupt_handler) from [<c0081430>] (handle_irq_event_percpu+0xb)
    [   11.575983]  r10:c08b3f6a r9:ef1996c0 r8:00000017 r7:00000000 r6:00000000 r5:ef199720
    [   11.575986]  r4:ef1a28c0
    [   11.575992] [<c008137c>] (handle_irq_event_percpu) from [<c008151c>] (handle_irq_event+0x40/0x)
    [   11.575999]  r10:c08d8ce0 r9:00000121 r8:ef006000 r7:00000000 r6:c086d9b4 r5:ef199720
    [   11.576001]  r4:ef1996c0
    [   11.576007] [<c00814dc>] (handle_irq_event) from [<c0084848>] (handle_fasteoi_irq+0xc0/0x194)
    [   11.576012]  r7:00000000 r6:c086d9b4 r5:ef199720 r4:ef1996c0
    [   11.576017] [<c0084788>] (handle_fasteoi_irq) from [<c0080a5c>] (generic_handle_irq+0x2c/0x3c)
    [   11.576022]  r7:00000000 r6:ee1579e8 r5:00000017 r4:c0862404
    [   11.576028] [<c0080a30>] (generic_handle_irq) from [<c0080d34>] (__handle_domain_irq+0x64/0xbc)
    [   11.576033] [<c0080cd0>] (__handle_domain_irq) from [<c00094f0>] (gic_handle_irq+0x40/0x7c)
    [   11.576041]  r9:00000121 r8:fa213000 r7:fa212000 r6:ee1578d0 r5:fa21200c r4:c08688d4
    [   11.576044] [<c00094b0>] (gic_handle_irq) from [<c00148d4>] (__irq_svc+0x54/0x90)
    [   11.576047] Exception stack(0xee1578d0 to 0xee157918)
    [   11.576050] 78c0:                                     00000000 c08b7180 00000000 00000000
    [   11.576055] 78e0: 00000002 00000012 ee156000 00000000 ef006000 00000121 c08d8ce0 ee15797c
    [   11.576059] 7900: ee157980 ee157920 c0038d98 c0038904 60070113 ffffffff
    [   11.576067]  r9:00000121 r8:ef006000 r7:ee157904 r6:ffffffff r5:60070113 r4:c0038904
    [   11.576072] [<c0038870>] (__do_softirq) from [<c0038d98>] (irq_exit+0xbc/0x11c)
    [   11.576079]  r10:c08d8ce0 r9:00000121 r8:ef006000 r7:00000000 r6:00000000 r5:00000012
    [   11.576081]  r4:ffffe000
    [   11.576087] [<c0038cdc>] (irq_exit) from [<c0080d38>] (__handle_domain_irq+0x68/0xbc)
    [   11.576090]  r5:00000012 r4:c0862404
    [   11.576095] [<c0080cd0>] (__handle_domain_irq) from [<c00094f0>] (gic_handle_irq+0x40/0x7c)
    [   11.576102]  r9:00000121 r8:fa213000 r7:fa212000 r6:ee1579e8 r5:fa21200c r4:c08688d4
    [   11.576106] [<c00094b0>] (gic_handle_irq) from [<c00148d4>] (__irq_svc+0x54/0x90)
    [   11.576108] Exception stack(0xee1579e8 to 0xee157a30)
    [   11.576112] 79e0:                   00000000 00000000 00000001 20070113 00000000 c08becd8
    [   11.576117] 7a00: 00000000 00000006 0000003e 00000121 c08d8ce0 ee157a94 ee157970 ee157a38
    [   11.576119] 7a20: c035d328 c007f620 60070113 ffffffff
    [   11.576127]  r9:00000121 r8:0000003e r7:ee157a1c r6:ffffffff r5:60070113 r4:c007f620
    [   11.576132] [<c007f2b0>] (console_unlock) from [<c007faac>] (vprintk_emit+0x2a8/0x534)
    [   11.576139]  r10:00000000 r9:00000000 r8:00000000 r7:c08b8d60 r6:c08db6b4 r5:00000001
    [   11.576142]  r4:0000002e
    [   11.576151] [<c007f804>] (vprintk_emit) from [<c03c8ff4>] (dev_vprintk_emit+0xa8/0x1d0)
    [   11.576158]  r10:bf113d20 r9:ee157bd4 r8:c07b05d4 r7:00000006 r6:ee157b08 r5:ec87e820
    [   11.576160]  r4:00000011
    [   11.576166] [<c03c8f4c>] (dev_vprintk_emit) from [<c03c9144>] (dev_printk_emit+0x28/0x30)
    [   11.576173]  r10:dd05fe00 r9:024000c0 r8:ec87e810 r7:ee157c48 r6:00000100 r5:ee360480
    [   11.576176]  r4:00000001
    [   11.576181] [<c03c9120>] (dev_printk_emit) from [<c03c920c>] (__dev_printk+0x54/0x94)
    [   11.576185]  r3:bf1f0c88 r2:c07b05d4
    [   11.576191] [<c03c91b8>] (__dev_printk) from [<c03c94dc>] (_dev_info+0x40/0x48)
    [   11.576206] [<c03c94a0>] (_dev_info) from [<bf1f052c>] (rpmsg_probe+0x3bc/0x474 [virtio_rpmsg_)
    [   11.576211]  r3:00000004 r2:00000000 r1:bf1f0c0c
    [   11.576226] [<bf1f0170>] (rpmsg_probe [virtio_rpmsg_bus]) from [<bf11385c>] (virtio_dev_probe+)
    [   11.576233]  r10:00000000 r9:00000000 r8:00000000 r7:ec87e820 r6:ec87e810 r5:00000000
    [   11.576235]  r4:00000000
    [   11.576244] [<bf11362c>] (virtio_dev_probe [virtio]) from [<c03cca00>] (driver_probe_device+0x)
    [   11.576252]  r10:00000000 r9:ec9d8288 r8:0000000f r7:bf1f0d20 r6:00000000 r5:ec87e820
    [   11.576254]  r4:c08f23d8
    [   11.576259] [<c03cc7fc>] (driver_probe_device) from [<c03ccb88>] (__driver_attach+0x94/0x98)
    [   11.576266]  r9:ec9d8288 r8:13627d9c r7:00000000 r6:ec87e854 r5:bf1f0d20 r4:ec87e820
    [   11.576270] [<c03ccaf4>] (__driver_attach) from [<c03cac88>] (bus_for_each_dev+0x70/0xa4)
    [   11.576276]  r7:00000000 r6:c03ccaf4 r5:bf1f0d20 r4:00000000
    [   11.576280] [<c03cac18>] (bus_for_each_dev) from [<c03cc2ec>] (driver_attach+0x24/0x28)
    [   11.576284]  r6:bf113d58 r5:ec837700 r4:bf1f0d20
    [   11.576289] [<c03cc2c8>] (driver_attach) from [<c03cbf28>] (bus_add_driver+0x1a8/0x220)
    [   11.576293] [<c03cbd80>] (bus_add_driver) from [<c03cd328>] (driver_register+0x80/0x100)
    [   11.576299]  r7:ec93c1c0 r6:c086b6b0 r5:bf1f4000 r4:bf1f0d20
    [   11.576306] [<c03cd2a8>] (driver_register) from [<bf113aa4>] (register_virtio_driver+0x30/0x44)
    [   11.576309]  r5:bf1f4000 r4:00000000
    [   11.576322] [<bf113a74>] (register_virtio_driver [virtio]) from [<bf1f4044>] (rpmsg_init+0x44/)
    [   11.576333] [<bf1f4000>] (rpmsg_init [virtio_rpmsg_bus]) from [<c000988c>] (do_one_initcall+0x)
    [   11.576336]  r5:bf1f4000 r4:c086b6b0
    [   11.576346] [<c00097f4>] (do_one_initcall) from [<c00d18ec>] (do_init_module+0x68/0x394)
    [   11.576353]  r10:bf1f0e40 r9:ec9d8288 r8:13627d9c r7:00000001 r6:ec93c180 r5:00000001
    [   11.576355]  r4:bf1f0e40
    [   11.576364] [<c00d1884>] (do_init_module) from [<c00ac938>] (load_module+0x1df8/0x2068)
    [   11.576369]  r6:ec9d8280 r5:00000001 r4:ee157f44
    [   11.576374] [<c00aab40>] (load_module) from [<c00acd94>] (SyS_finit_module+0x88/0x98)
    [   11.576381]  r10:00000000 r9:ee156000 r8:c000fe24 r7:0000017b r6:b6e5ed90 r5:00000007
    [   11.576383]  r4:00000000
    [   11.576389] [<c00acd0c>] (SyS_finit_module) from [<c000fc60>] (ret_fast_syscall+0x0/0x3c)
    [   11.576394]  r6:00000000 r5:7f5f9928 r4:00000000
    [   11.576396] ---[ end trace fad2a18fb641483d ]---

    Michael said that he doesn't have the watchdog enabled so I disabled it in the kernel


    [    9.539472] omap-rproc 58820000.ipu: assigned reserved memory node ipu1_cma@9d000000
    [    9.609362]  remoteproc0: 58820000.ipu is available
    [    9.624486] PVR_K: UM DDK-(3699939) and KM DDK-(3699939) match. [ OK ]
    [    9.669271]  remoteproc0: Note: remoteproc is still under development and considered experimen.
    [    9.770656]  remoteproc0: THE BINARY FORMAT IS NOT YET FINALIZED, and backward compatibility i.
    [    9.945728] omap-rproc 55020000.ipu: assigned reserved memory node ipu2_cma@95800000
    [   10.049035]  remoteproc1: 55020000.ipu is available
    [   10.076809]  remoteproc0: registered virtio0 (type 7)
    [  OK  ] Started rc.pvr.service.
    [   10.112582]  remoteproc1: Note: remoteproc is still under development and considered experimen.
    [   10.219938]  remoteproc1: THE BINARY FORMAT IS NOT YET FINALIZED, and backward compatibility i.
    [   10.416714]  remoteproc1: registered virtio1 (type 7)
    [   11.195376]  remoteproc0: powering up 58820000.ipu
    [   11.265666]  remoteproc0: Booting fw image dra7-ipu1-fw.xem4, size 5033360
    [   11.313658]  remoteproc0: remote processor 58820000.ipu is now up
    [   11.341791] virtio_rpmsg_bus virtio0: rpmsg host is online
    [   11.347305] ------------[ cut here ]------------
    [   11.347321] WARNING: CPU: 0 PID: 242 at drivers/bus/omap_l3_noc.c:147 l3_interrupt_handler+0x2)
    [   11.347324] 44000000.ocp:L3 Custom Error: MASTER IPU1 TARGET L4_PER1_P3 (Idle): Data Access ins
    [   11.347364] Modules linked in: virtio_rpmsg_bus(+) dwc3(+) udc_core bc_example(O) ecb omap_reml
    [   11.347370] CPU: 0 PID: 242 Comm: systemd-udevd Tainted: G           O    4.4.45-actia-2 #16
    [   11.347372] Hardware name: Generic DRA72X (Flattened Device Tree)
    [   11.347375] Backtrace:
    [   11.347387] [<c0013b00>] (dump_backtrace) from [<c0013cfc>] (show_stack+0x18/0x1c)
    [   11.347394]  r7:c02f1408 r6:20060193 r5:00000000 r4:c089078c
    [   11.347401] [<c0013ce4>] (show_stack) from [<c02c76ac>] (dump_stack+0x8c/0xa0)
    [   11.347407] [<c02c7620>] (dump_stack) from [<c0034bd0>] (warn_slowpath_common+0x88/0xb8)
    [   11.347413]  r7:c02f1408 r6:00000093 r5:00000009 r4:ed419828
    [   11.347417] [<c0034b48>] (warn_slowpath_common) from [<c0034c38>] (warn_slowpath_fmt+0x38/0x40)
    [   11.347424]  r8:00000017 r7:c0798f60 r6:00000000 r5:c0798afc r4:c0798ba0
    [   11.347429] [<c0034c04>] (warn_slowpath_fmt) from [<c02f1408>] (l3_interrupt_handler+0x25c/0x3)
    [   11.347433]  r3:ef1a23c0 r2:c0798ba0
    [   11.347435]  r4:80080003
    [   11.347443] [<c02f11ac>] (l3_interrupt_handler) from [<c0081430>] (handle_irq_event_percpu+0xb)
    [   11.347451]  r10:c08b18aa r9:ef1996c0 r8:00000017 r7:00000000 r6:00000000 r5:ef199720
    [   11.347453]  r4:ef1a28c0
    [   11.347459] [<c008137c>] (handle_irq_event_percpu) from [<c008151c>] (handle_irq_event+0x40/0x)
    [   11.347466]  r10:c08d6ce0 r9:00000121 r8:ef006000 r7:00000000 r6:c086b9b4 r5:ef199720
    [   11.347468]  r4:ef1996c0
    [   11.347473] [<c00814dc>] (handle_irq_event) from [<c0084848>] (handle_fasteoi_irq+0xc0/0x194)
    [   11.347479]  r7:00000000 r6:c086b9b4 r5:ef199720 r4:ef1996c0
    [   11.347483] [<c0084788>] (handle_fasteoi_irq) from [<c0080a5c>] (generic_handle_irq+0x2c/0x3c)
    [   11.347489]  r7:00000000 r6:00000000 r5:00000017 r4:c0860404
    [   11.347494] [<c0080a30>] (generic_handle_irq) from [<c0080d34>] (__handle_domain_irq+0x64/0xbc)
    [   11.347499] [<c0080cd0>] (__handle_domain_irq) from [<c00094f0>] (gic_handle_irq+0x40/0x7c)
    [   11.347506]  r9:00000121 r8:fa213000 r7:fa212000 r6:ed4199e8 r5:fa21200c r4:c08668d4
    [   11.347510] [<c00094b0>] (gic_handle_irq) from [<c00148d4>] (__irq_svc+0x54/0x90)
    [   11.347512] Exception stack(0xed4199e8 to 0xed419a30)
    [   11.347516] 99e0:                   00000000 00000000 00000001 20060113 00000000 c08bcce0
    [   11.347521] 9a00: 00000000 00000006 0000003e 00000121 c08d6ce0 ed419a94 ed419970 ed419a38
    [   11.347524] 9a20: c035d328 c007f620 60060113 ffffffff
    [   11.347532]  r9:00000121 r8:0000003e r7:ed419a1c r6:ffffffff r5:60060113 r4:c007f620
    [   11.347537] [<c007f2b0>] (console_unlock) from [<c007faac>] (vprintk_emit+0x2a8/0x534)
    [   11.347545]  r10:00000000 r9:00000000 r8:00000000 r7:c08b6d60 r6:c08d96b4 r5:00000001
    [   11.347547]  r4:0000002e
    [   11.347555] [<c007f804>] (vprintk_emit) from [<c03c8ff4>] (dev_vprintk_emit+0xa8/0x1d0)
    [   11.347563]  r10:bf10ad20 r9:ed419bd4 r8:c07ae970 r7:00000006 r6:ed419b08 r5:ee2c6420
    [   11.347565]  r4:00000011
    [   11.347570] [<c03c8f4c>] (dev_vprintk_emit) from [<c03c9144>] (dev_printk_emit+0x28/0x30)
    [   11.347578]  r10:dd05fe00 r9:024000c0 r8:ee2c6410 r7:ed419c48 r6:00000100 r5:eccfec00
    [   11.347580]  r4:00000001
    [   11.347585] [<c03c9120>] (dev_printk_emit) from [<c03c920c>] (__dev_printk+0x54/0x94)
    [   11.347589]  r3:bf1e7c88 r2:c07ae970
    [   11.347595] [<c03c91b8>] (__dev_printk) from [<c03c94dc>] (_dev_info+0x40/0x48)
    [   11.347610] [<c03c94a0>] (_dev_info) from [<bf1e752c>] (rpmsg_probe+0x3bc/0x474 [virtio_rpmsg_)
    [   11.347615]  r3:00000004 r2:00000000 r1:bf1e7c0c
    [   11.347630] [<bf1e7170>] (rpmsg_probe [virtio_rpmsg_bus]) from [<bf10a85c>] (virtio_dev_probe+)
    [   11.347637]  r10:00000000 r9:00000000 r8:00000000 r7:ee2c6420 r6:ee2c6410 r5:00000000
    [   11.347639]  r4:00000000
    [   11.347648] [<bf10a62c>] (virtio_dev_probe [virtio]) from [<c03cca00>] (driver_probe_device+0x)
    [   11.347655]  r10:00000000 r9:ec035088 r8:0000000f r7:bf1e7d20 r6:00000000 r5:ee2c6420
    [   11.347658]  r4:c08f03d8
    [   11.347662] [<c03cc7fc>] (driver_probe_device) from [<c03ccb88>] (__driver_attach+0x94/0x98)
    [   11.347670]  r9:ec035088 r8:13fcaf9c r7:00000000 r6:ee2c6454 r5:bf1e7d20 r4:ee2c6420
    [   11.347674] [<c03ccaf4>] (__driver_attach) from [<c03cac88>] (bus_for_each_dev+0x70/0xa4)
    [   11.347679]  r7:00000000 r6:c03ccaf4 r5:bf1e7d20 r4:00000000
    [   11.347684] [<c03cac18>] (bus_for_each_dev) from [<c03cc2ec>] (driver_attach+0x24/0x28)
    [   11.347688]  r6:bf10ad58 r5:eccfe200 r4:bf1e7d20
    [   11.347693] [<c03cc2c8>] (driver_attach) from [<c03cbf28>] (bus_add_driver+0x1a8/0x220)
    [   11.347697] [<c03cbd80>] (bus_add_driver) from [<c03cd328>] (driver_register+0x80/0x100)
    [   11.347702]  r7:ec035180 r6:c08696b0 r5:bf1eb000 r4:bf1e7d20
    [   11.347710] [<c03cd2a8>] (driver_register) from [<bf10aaa4>] (register_virtio_driver+0x30/0x44)
    [   11.347713]  r5:bf1eb000 r4:00000000
    [   11.347726] [<bf10aa74>] (register_virtio_driver [virtio]) from [<bf1eb044>] (rpmsg_init+0x44/)
    [   11.347736] [<bf1eb000>] (rpmsg_init [virtio_rpmsg_bus]) from [<c000988c>] (do_one_initcall+0x)
    [   11.347739]  r5:bf1eb000 r4:c08696b0
    [   11.347747] [<c00097f4>] (do_one_initcall) from [<c00d18ec>] (do_init_module+0x68/0x394)
    [   11.347754]  r10:bf1e7e40 r9:ec035088 r8:13fcaf9c r7:00000001 r6:ec035140 r5:00000001
    [   11.347756]  r4:bf1e7e40
    [   11.347763] [<c00d1884>] (do_init_module) from [<c00ac938>] (load_module+0x1df8/0x2068)
    [   11.347768]  r6:ec035080 r5:00000001 r4:ed419f44
    [   11.347773] [<c00aab40>] (load_module) from [<c00acd94>] (SyS_finit_module+0x88/0x98)
    [   11.347780]  r10:00000000 r9:ed418000 r8:c000fe24 r7:0000017b r6:b6d9ad90 r5:00000007
    [   11.347782]  r4:00000000
    [   11.347787] [<c00acd0c>] (SyS_finit_module) from [<c000fc60>] (ret_fast_syscall+0x0/0x3c)
    [   11.347792]  r6:00000000 r5:7f644928 r4:00000000
    [   11.347794] ---[ end trace 4823224b33a6db5a ]---
    [   11.919200] virtio_rpmsg_bus virtio0: creating channel rpmsg-proto addr 0x3d

    Michel Catudal

    ACTIA Corp

  • Michel,

    Reading you posts, it is still unclear to me whether you enable this feature in u-boot. In file u-boot/configs/dra7xx_evm_defconfig.h, change CONFIG_LATE_ATTACH to y, then rebuild and load new SLP/u-boot

    See also below file:
    u-boot/doc/README.earlyboot

    Regards,
    Pavel
  • Pavel,

    I do not know why it is not clear, the fact that it says in the log that the ipu1 code was loaded during spl should have made that obvious.

    Our board is custom, not the ti evm

    Here is the content of my configuration file, look for items in red

    CONFIG_CREATE_ARCH_SYMLINK=y
    CONFIG_HAVE_GENERIC_BOARD=y
    CONFIG_SYS_GENERIC_BOARD=y
    CONFIG_ARM=y
    CONFIG_SYS_ARCH="arm"
    CONFIG_SYS_CPU="armv7"
    CONFIG_SYS_SOC="omap5"
    CONFIG_SYS_VENDOR="actia"
    CONFIG_SYS_BOARD="dra726"
    CONFIG_SYS_CONFIG_NAME="actia_dra726"

    #
    # ARM architecture
    #
    CONFIG_HAS_VBAR=y
    CONFIG_HAS_THUMB2=y
    CONFIG_CPU_V7=y
    CONFIG_OMAP54XX=y
    CONFIG_SYS_MALLOC_F_LEN=0x400
    # CONFIG_TI_SECURE_DEVICE is not set
    # CONFIG_TARGET_CM_T54 is not set
    # CONFIG_TARGET_OMAP5_UEVM is not set
    # CONFIG_TARGET_DRA7XX_EVM is not set
    # CONFIG_TARGET_AM57XX_EVM is not set
    CONFIG_TARGET_ACTIA_DRA726=y
    CONFIG_CONS_INDEX=3
    CONFIG_LATE_ATTACH=y
    # CONFIG_LATE_ATTACH_GPT_PART is not set
    # CONFIG_LATE_ATTACH_DMA_POOL is not set

    #
    # Voltage Domain OPP selections
    #
    CONFIG_DRA7_MPU_OPP_NOM=y
    # CONFIG_DRA7_DSPEVE_OPP_NOM is not set
    # CONFIG_DRA7_DSPEVE_OPP_OD is not set
    CONFIG_DRA7_DSPEVE_OPP_HIGH=y
    # CONFIG_DRA7_IVA_OPP_NOM is not set
    # CONFIG_DRA7_IVA_OPP_OD is not set
    CONFIG_DRA7_IVA_OPP_HIGH=y
    # CONFIG_DRA7_GPU_OPP_NOM is not set
    # CONFIG_DRA7_GPU_OPP_OD is not set
    CONFIG_DRA7_GPU_OPP_HIGH=y
    CONFIG_SYS_MALLOC_F=y
    # CONFIG_SPL_SYS_MALLOC_SIMPLE is not set
    # CONFIG_SPL_DM is not set
    CONFIG_DM_SERIAL=y
    CONFIG_DM_SPI=y
    CONFIG_DM_SPI_FLASH=y
    CONFIG_DM_I2C=y
    CONFIG_DM_GPIO=y
    CONFIG_ARMV7_LPAE=y
    CONFIG_SPL_STACK_R_ADDR=0x82000000

    #
    # ARM debug
    #
    # CONFIG_DEBUG_LL is not set
    # CONFIG_DM_KEYBOARD is not set
    CONFIG_DEFAULT_DEVICE_TREE="actia-dra726"

    #
    # General setup
    #
    CONFIG_LOCALVERSION=""
    CONFIG_LOCALVERSION_AUTO=y
    CONFIG_CC_OPTIMIZE_FOR_SIZE=y
    CONFIG_EXPERT=y
    CONFIG_SYS_MALLOC_CLEAR_ON_INIT=y

    #
    # Boot images
    #
    CONFIG_SUPPORT_SPL=y
    CONFIG_SPL=y
    CONFIG_SPL_STACK_R=y
    # CONFIG_SPL_SEPARATE_BSS is not set
    CONFIG_FIT=y
    # CONFIG_FIT_VERBOSE is not set
    # CONFIG_FIT_SIGNATURE is not set
    # CONFIG_FIT_BEST_MATCH is not set
    CONFIG_OF_BOARD_SETUP=y
    # CONFIG_OF_SYSTEM_SETUP is not set
    # CONFIG_OF_STDOUT_VIA_ALIAS is not set
    # CONFIG_SYS_EXTRA_OPTIONS="QSPI_BOOT"
    CONFIG_SYS_EXTRA_OPTIONS=""
    CONFIG_SPL_LOAD_FIT=y
    # CONFIG_SPL_PANIC_ON_NON_FIT_IMAGE is not set


    #
    # Boot timing
    #
    # CONFIG_BOOTSTAGE is not set
    CONFIG_BOOTSTAGE_USER_COUNT=20
    CONFIG_BOOTSTAGE_STASH_ADDR=0
    CONFIG_BOOTSTAGE_STASH_SIZE=4096
    # CONFIG_CONSOLE_RECORD is not set

    #
    # Command line interface
    #
    CONFIG_CMDLINE=y
    CONFIG_HUSH_PARSER=y
    CONFIG_SYS_HUSH_PARSER=y
    CONFIG_SYS_PROMPT="=> "

    #
    # Info commands
    #
    CONFIG_CMD_BDI=y
    CONFIG_CMD_CONSOLE=y
    # CONFIG_CMD_CPU is not set
    # CONFIG_CMD_LICENSE is not set

    #
    # Boot commands
    #
    CONFIG_CMD_BOOTD=y
    CONFIG_CMD_BOOTM=y
    CONFIG_CMD_BOOTZ=y
    CONFIG_CMD_BOOTEFI=y
    CONFIG_CMD_ELF=y
    CONFIG_CMD_FDT=y
    CONFIG_CMD_GO=y
    CONFIG_CMD_RUN=y
    CONFIG_CMD_IMI=y
    # CONFIG_CMD_IMLS is not set
    CONFIG_CMD_XIMG=y

    #
    # Environment commands
    #
    CONFIG_CMD_ASKENV=y
    CONFIG_CMD_EXPORTENV=y
    CONFIG_CMD_IMPORTENV=y
    CONFIG_CMD_EDITENV=y
    # CONFIG_CMD_GREPENV is not set
    CONFIG_CMD_SAVEENV=y
    CONFIG_CMD_ENV_EXISTS=y

    #
    # Memory commands
    #
    CONFIG_CMD_MEMORY=y
    CONFIG_CMD_CRC32=y
    # CONFIG_LOOPW is not set
    # CONFIG_CMD_MEMTEST is not set
    # CONFIG_CMD_MX_CYCLIC is not set
    # CONFIG_CMD_MEMINFO is not set

    #
    # Device access commands
    #
    CONFIG_CMD_DM=y
    # CONFIG_CMD_DEMO is not set
    CONFIG_CMD_LOADB=y
    CONFIG_CMD_LOADS=y
    # CONFIG_CMD_FLASH is not set
    # CONFIG_CMD_ARMFLASH is not set
    CONFIG_CMD_MMC=y
    # CONFIG_CMD_NAND is not set
    CONFIG_CMD_SF=y
    CONFIG_CMD_SPI=y
    CONFIG_CMD_I2C=y
    # CONFIG_CMD_USB is not set
    # CONFIG_CMD_DFU is not set
    # CONFIG_CMD_USB_MASS_STORAGE is not set
    CONFIG_CMD_FPGA=y
    CONFIG_CMD_GPIO=y
    # CONFIG_CMD_RIO is not set

    #
    # Shell scripting commands
    #
    CONFIG_CMD_ECHO=y
    CONFIG_CMD_ITEST=y
    CONFIG_CMD_SOURCE=y
    # CONFIG_CMD_SETEXPR is not set

    #
    # Network commands
    #
    CONFIG_CMD_NET=y
    # CONFIG_CMD_TFTPPUT is not set
    # CONFIG_CMD_TFTPSRV is not set
    # CONFIG_CMD_RARP is not set
    CONFIG_CMD_DHCP=y
    CONFIG_CMD_NFS=y
    CONFIG_CMD_MII=y
    CONFIG_CMD_PING=y
    # CONFIG_CMD_CDP is not set
    # CONFIG_CMD_SNTP is not set
    # CONFIG_CMD_DNS is not set
    # CONFIG_CMD_LINK_LOCAL is not set

    #
    # Misc commands
    #
    # CONFIG_CMD_CACHE is not set
    CONFIG_CMD_TIME=y
    CONFIG_CMD_MISC=y
    # CONFIG_CMD_TIMER is not set

    #
    # Power commands
    #
    CONFIG_CMD_PMIC=y

    #
    # Filesystem commands
    #
    CONFIG_CMD_EXT2=y
    CONFIG_CMD_EXT4=y
    CONFIG_CMD_EXT4_WRITE=y
    CONFIG_CMD_FAT=y
    CONFIG_CMD_FS_GENERIC=y
    CONFIG_SUPPORT_OF_CONTROL=y

    #
    # Device Tree Control
    #
    CONFIG_OF_CONTROL=y
    # CONFIG_SPL_OF_CONTROL is not set
    CONFIG_OF_SEPARATE=y
    # CONFIG_OF_EMBED is not set
    CONFIG_OF_LIST="actia-dra726"
    CONFIG_NET=y
    # CONFIG_NET_RANDOM_ETHADDR is not set
    # CONFIG_NETCONSOLE is not set
    CONFIG_NET_TFTP_VARS=y

    #
    # Generic Driver Options
    #
    CONFIG_DM=y
    CONFIG_DM_WARN=y
    CONFIG_DM_DEVICE_REMOVE=y
    CONFIG_DM_STDIO=y
    CONFIG_DM_SEQ_ALIAS=y
    # CONFIG_SPL_DM_SEQ_ALIAS is not set
    # CONFIG_REGMAP is not set
    # CONFIG_SPL_REGMAP is not set
    # CONFIG_DEVRES is not set
    CONFIG_SIMPLE_BUS=y
    CONFIG_OF_TRANSLATE=y
    # CONFIG_ADC is not set
    # CONFIG_ADC_EXYNOS is not set
    # CONFIG_ADC_SANDBOX is not set
    # CONFIG_BLK is not set
    CONFIG_DISK=y
    # CONFIG_BLOCK_CACHE is not set
    # CONFIG_DWC_AHCI is not set


    #
    # MMC Host controller Support
    #
    CONFIG_DM_MMC=y
    # CONFIG_MSM_SDHCI is not set
    # CONFIG_ROCKCHIP_DWMMC is not set
    # CONFIG_ZYNQ_SDHCI is not set

    #
    # MTD Support
    #
    # CONFIG_MTD is not set


    #
    # SPI Flash Support
    #
    CONFIG_SPI_FLASH=y
    CONFIG_SPI_FLASH_BAR=y
    # CONFIG_SPI_FLASH_ATMEL is not set
    # CONFIG_SPI_FLASH_EON is not set
    # CONFIG_SPI_FLASH_GIGADEVICE is not set
    # CONFIG_SPI_FLASH_MACRONIX is not set
    CONFIG_SPI_FLASH_SPANSION=y
    # CONFIG_SPI_FLASH_STMICRO is not set
    # CONFIG_SPI_FLASH_SST is not set
    # CONFIG_SPI_FLASH_WINBOND is not set
    CONFIG_SPI_FLASH_USE_4K_SECTORS=y
    # CONFIG_SPI_FLASH_DATAFLASH is not set
    # CONFIG_SPI_FLASH_MTD is not set
    CONFIG_DM_ETH=y
    # CONFIG_PHYLIB is not set
    CONFIG_NETDEVICES=y
    # CONFIG_ALTERA_TSE is not set
    # CONFIG_E1000 is not set
    # CONFIG_ETH_DESIGNWARE is not set
    # CONFIG_RTL8139 is not set
    # CONFIG_RTL8169 is not set


    #
    # Power
    #
    CONFIG_DM_PMIC=y
    CONFIG_PMIC_CHILDREN=y
    CONFIG_SPL_PMIC_CHILDREN=y
    # CONFIG_PMIC_ACT8846 is not set
    # CONFIG_DM_PMIC_PFUZE100 is not set
    # CONFIG_DM_PMIC_MAX77686 is not set
    # CONFIG_PMIC_PM8916 is not set
    # CONFIG_PMIC_RK808 is not set
    # CONFIG_PMIC_S2MPS11 is not set
    # CONFIG_DM_PMIC_SANDBOX is not set
    # CONFIG_PMIC_S5M8767 is not set
    # CONFIG_PMIC_TPS65090 is not set
    CONFIG_PMIC_PALMAS=y
    # CONFIG_PMIC_LP873X is not set
    # CONFIG_DM_REGULATOR is not set
    # CONFIG_DM_REGULATOR_PALMAS is not set
    # CONFIG_DM_PWM is not set
    # CONFIG_RAM is not set
    # CONFIG_DM_RIO is not set


    #
    # Serial drivers
    #
    CONFIG_REQUIRE_SERIAL_CONSOLE=y
    CONFIG_SERIAL_PRESENT=y
    CONFIG_SPL_SERIAL_PRESENT=y
    # CONFIG_DEBUG_UART is not set
    # CONFIG_DEBUG_UART_SKIP_INIT is not set
    # CONFIG_ALTERA_JTAG_UART is not set
    # CONFIG_ALTERA_UART is not set
    # CONFIG_FSL_LPUART is not set
    CONFIG_SYS_NS16550=y
    # CONFIG_MSM_SERIAL is not set

    #
    # Sound support
    #
    # CONFIG_SOUND is not set

    #
    # SPI Support
    #
    # CONFIG_ALTERA_SPI is not set
    # CONFIG_CADENCE_QSPI is not set
    # CONFIG_DESIGNWARE_SPI is not set
    # CONFIG_EXYNOS_SPI is not set
    # CONFIG_FSL_DSPI is not set
    # CONFIG_FSL_QSPI is not set
    # CONFIG_ICH_SPI is not set
    # CONFIG_ROCKCHIP_SPI is not set
    # CONFIG_TEGRA114_SPI is not set
    # CONFIG_TEGRA20_SFLASH is not set
    # CONFIG_TEGRA20_SLINK is not set
    # CONFIG_TEGRA210_QSPI is not set
    # CONFIG_XILINX_SPI is not set
    # CONFIG_OMAP3_SPI is not set
    # CONFIG_FSL_ESPI is not set
    CONFIG_TI_QSPI=y

    #
    # SPMI support
    #
    # CONFIG_SPMI is not set
    # CONFIG_DM_THERMAL is not set

    #
    # Timer Support
    #
    CONFIG_TIMER=y
    # CONFIG_TIMER_EARLY is not set
    # CONFIG_ALTERA_TIMER is not set
    CONFIG_OMAP_TIMER=y

    #
    # TPM support
    #
    # CONFIG_USB is not set

    #
    # Graphics support
    #
    # CONFIG_DM_VIDEO is not set

    #
    # Library routines
    #
    # CONFIG_CC_OPTIMIZE_LIBS_FOR_SPEED is not set
    CONFIG_HAVE_PRIVATE_LIBGCC=y
    CONFIG_USE_PRIVATE_LIBGCC=y
    CONFIG_SYS_HZ=1000
    # CONFIG_USE_TINY_PRINTF is not set
    CONFIG_REGEX=y
    # CONFIG_LIB_RAND is not set
    # CONFIG_CMD_DHRYSTONE is not set
    # CONFIG_RSA is not set
    # CONFIG_TPM is not set


    #
    # Compression Support
    #
    CONFIG_LZ4=y
    # CONFIG_ERRNO_STR is not set
    CONFIG_OF_LIBFDT=y
    CONFIG_SPL_OF_LIBFDT=y
    CONFIG_EFI_LOADER=y
    # CONFIG_UNIT_TEST is not set

  • Michel,

    "Early boot" is enabled and handled by SPL/u-boot, while "late attach" is enabled and handled by linux kernel. To isolate the root cause, I want to clear the "early boot" in u-boot first.

    To enable "early boot" you should place the re-built SPL/u-boot and dra7-ipu1-fw.xem4 file in FAT partition of MMC/SD card or a specified eMMC partitions. "Early boot" in QSPI is not enabled by default.

    As a first step can you try "early boot" in MMC/SD mode or eMMC mode (not QSPI mode), and check how it goes there?

    To trace the load process, please enable debug prints of the following files:

    u-boot/common/elf_remoteproc.c
    u-boot/common/spl/spl.c
    u-boot/board/ti/dra7xx/lateattach.c

    Enable debug for both SD/eMMC mode and QSPI mode and compare the flow.

    An easy way to verify that early boot is working is by stopping the A15 execution at the U-Boot prompt and connecting to the remotecore via a JTAG. Are you able to connect to IPU1 at u-boot stage?

    Regards,
    Pavel
  • Pavel,

    It looks like u-boot loads it correctly, I will switch to Micro SD boot to start with to see.

    U-Boot SPL 2016.05 (Aug 01 2017 - 13:08:23)
    DRA722-GP ES2.0
    Trying to boot from SPI
    reading dra7-ipu1-fw.xem4
    Core 2 ELF Image is valid
    Configuring IPU
    Configuring IOMMU
    Cleared the page table for MMU
    Configured the IOMMU
    Loading ELF Image
    alloc_mem: count 3 mask 0x1 pageno 0x0
    alloc_mem: count 3 mask 0x1 pageno 0x4
    alloc_mem: count 64 mask 0x3f pageno 0x40
    alloc_mem: count 256 mask 0xff pageno 0x100
    alloc_mem: count 1280 mask 0xff pageno 0x200
    alloc_mem: count 256 mask 0xff pageno 0x700
    Core entry point is 0x00000011
    Starting the remote core

    Question on IPU2, what is IPU2 used for? I didn't take it out because I wasn't sure if it was used by weston.

    If it is not used then I will take it out. Our board for the current customer has a fairly limited number of device populated, we don't play any movies, there is no sound or ethernet.

    I use a bestbuy usb to ethernet device for my tests so I can ssh to the board.

    Michel

  • Pavel,

    With the micro SD boot the M4 code recovers, I still see some crashes

    I still have the debug enable for splash so I took most of it out of this log

    U-Boot SPL 2016.05-g0869641b2d (Aug 02 2017 - 10:35:31)
    DRA722-GP ES2.0
    Trying to boot from MMC1
    reading dra7-ipu1-fw.xem4
    Core 2 ELF Image is valid
    Configuring IPU
    Configuring IOMMU
    Cleared the page table for MMU
    Configured the IOMMU
    Loading ELF Image
    alloc_mem: count 3 mask 0x1 pageno 0x0
    alloc_mem: count 3 mask 0x1 pageno 0x4
    alloc_mem: count 64 mask 0x3f pageno 0x40
    alloc_mem: count 256 mask 0xff pageno 0x100
    alloc_mem: count 1280 mask 0xff pageno 0x200
    alloc_mem: count 256 mask 0xff pageno 0x700
    Core entry point is 0x00000011
    Starting the remote core
    reading args
    spl_load_image_fat_os: error reading image args, err - -1
    reading u-boot.img
    reading u-boot.img
    reading u-boot.img
    reading u-boot.img
    Loading Logo spl_display_logo MMC
    Enabling DSS Clock domain
    Enabling DSS
    Enabling Video1 PLL
    Enabling I2C1
    Setting up Video PLL
    Enabling LCD
    Setting up Display
    DISPC_CONTROL is 0x00018308
    Leaving spl_display_logo
    CTRL_CORE_DSS_PLL_CONTROL (0x4a002538) = 0x000002A6
    DSS_CTRL (0x58000040) = 0x00010001
    DSS_STATUS (0x5800005C) = 0x01408A82
    DSI_CLK_CTRL (0x58004054) = 0x80004001
    DISPC_IRQSTATUS (0x58001018) = 0x00080022
    <snip debug splash text>

    U-Boot 2016.05-g0869641b2d (Aug 02 2017 - 10:35:31 -0400)

    CPU  : DRA722-GP ES2.0
    Model: ACTIA Global Display
    ACTIA Global Display: Rev D
    DRAM:  1 GiB
    MMC:   OMAP SD/MMC: 0, OMAP SD/MMC: 1
    reading uboot.env

    ** Unable to read "uboot.env" from mmc1:1 **
    Using default environment

    Net:   No ethernet found.
    Hit any key to stop autoboot:  0
    switch to partitions #0, OK
    mmc0 is current device
    SD/MMC found on device 0
    3704808 bytes read in 172 ms (20.5 MiB/s)
    92994 bytes read in 17 ms (5.2 MiB/s)
    Kernel image @ 0x82000000 [ 0x000000 - 0x3887e8 ]
    ## Flattened Device Tree blob at 88000000
       Booting using the fdt blob at 0x88000000
       Loading Device Tree to 8ffe6000, end 8ffffb41 ... OK

    Starting kernel ...

    [    0.000000] Booting Linux on physical CPU 0x0
    [    0.000000] Initializing cgroup subsys cpuset
    [    0.000000] Initializing cgroup subsys cpu
    [    0.000000] Initializing cgroup subsys cpuacct
    [    0.000000] Linux version 4.4.45-actia-3-gf9f6f0db2d (michelcatudal@mcatu01d) (gcc version 7
    [    0.000000] CPU: ARMv7 Processor [412fc0f2] revision 2 (ARMv7), cr=10c5387d
    [    0.000000] CPU: PIPT / VIPT nonaliasing data cache, PIPT instruction cache
    [    0.000000] Machine model: ACTIA Global Display
    [    0.000000] Reserved memory: created CMA memory pool at 0x95800000, size 56 MiB
    [    0.000000] Reserved memory: initialized node ipu2_cma@95800000, compatible id shared-dma-pl
    [    0.000000] Reserved memory: created CMA memory pool at 0x9d000000, size 32 MiB
    [    0.000000] Reserved memory: initialized node ipu1_cma@9d000000, compatible id shared-dma-pl
    [    0.000000] cma: Reserved 24 MiB at 0xbe400000
    [    0.000000] Memory policy: Data cache writealloc
    [    0.000000] OMAP4: Map 0xbfe00000 to fe600000 for dram barrier
    [    0.000000] DRA722 ES2.0
    [    0.000000] PERCPU: Embedded 12 pages/cpu @ef671000 s19008 r8192 d21952 u49152
    [    0.000000] Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 251456
    [    0.000000] Kernel command line: console=ttyO2,115200n8 root=PARTUUID=c2a1c2f3-02 rw rootfst
    [    0.000000] PID hash table entries: 4096 (order: 2, 16384 bytes)
    [    0.000000] Dentry cache hash table entries: 131072 (order: 7, 524288 bytes)
    [    0.000000] Inode-cache hash table entries: 65536 (order: 6, 262144 bytes)
    [    0.000000] Memory: 877184K/1012736K available (6747K kernel code, 373K rwdata, 2716K rodat)
    [    0.000000] Virtual kernel memory layout:
    [    0.000000]     vector  : 0xffff0000 - 0xffff1000   (   4 kB)
    [    0.000000]     fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
    [    0.000000]     vmalloc : 0xf0800000 - 0xff800000   ( 240 MB)
    [    0.000000]     lowmem  : 0xc0000000 - 0xf0000000   ( 768 MB)
    [    0.000000]     pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
    [    0.000000]     modules : 0xbf000000 - 0xbfe00000   (  14 MB)
    [    0.000000]       .text : 0xc0008000 - 0xc094607c   (9465 kB)
    [    0.000000]       .init : 0xc0947000 - 0xc099c000   ( 340 kB)
    [    0.000000]       .data : 0xc099c000 - 0xc09f9750   ( 374 kB)
    [    0.000000]        .bss : 0xc09fb000 - 0xc0a42c00   ( 287 kB)
    [    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=1, Nodes=1
    [    0.000000] Preemptible hierarchical RCU implementation.
    [    0.000000]  Build-time adjustment of leaf fanout to 32.
    [    0.000000]  RCU restricting CPUs from NR_CPUS=2 to nr_cpu_ids=1.
    [    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=1
    [    0.000000] NR_IRQS:16 nr_irqs:16 16
    [    0.000000] ti_dt_clocks_register: failed to lookup clock node gmac_gmii_ref_clk_div
    [    0.000000] OMAP clockevent source: timer1 at 32786 Hz
    [    0.000000] Architected cp15 timer(s) running at 6.14MHz (phys).
    [    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x16af5adb9, s
    [    0.000005] sched_clock: 56 bits at 6MHz, resolution 162ns, wraps every 4398046511023ns
    [    0.000016] Switching to timer-based delay loop, resolution 162ns
    [    0.000329] clocksource: 32k_counter: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns:s
    [    0.000337] OMAP clocksource: 32k_counter at 32768 Hz
    [    0.000739] Console: colour dummy device 80x30
    [    0.000759] WARNING: Your 'console=ttyO2' has been replaced by 'ttyS2'
    [    0.000765] This ensures that you still see kernel messages. Please
    [    0.000771] update your kernel commandline.
    [    0.000784] Calibrating delay loop (skipped), value calculated using timer frequency.. 12.2)
    [    0.000799] pid_max: default: 32768 minimum: 301
    [    0.000905] Mount-cache hash table entries: 2048 (order: 1, 8192 bytes)
    [    0.000916] Mountpoint-cache hash table entries: 2048 (order: 1, 8192 bytes)
    [    0.001540] Initializing cgroup subsys io
    [    0.001560] Initializing cgroup subsys memory
    [    0.001587] Initializing cgroup subsys devices
    [    0.001601] Initializing cgroup subsys freezer
    [    0.001613] Initializing cgroup subsys perf_event
    [    0.001624] Initializing cgroup subsys pids
    [    0.001654] CPU: Testing write buffer coherency: ok
    [    0.001904] /cpus/cpu@0 missing clock-frequency property
    [    0.001919] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
    [    0.001969] Setting up static identity map for 0x80008340 - 0x800083a0
    [    0.050127] Brought up 1 CPUs
    [    0.050140] SMP: Total of 1 processors activated (12.29 BogoMIPS).
    [    0.050148] CPU: All CPU(s) started in HYP mode.
    [    0.050155] CPU: Virtualization extensions available.
    [    0.051199] devtmpfs: initialized
    [    0.090098] VFP support v0.3: implementor 41 architecture 4 part 30 variant f rev 0
    [    0.091486] omap_hwmod: l3_main_2 using broken dt data from ocp
    [    0.284719] omap_hwmod: i2c1: _wait_target_disable failed
    [    0.351183] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 191s
    [    0.362845] pinctrl core: initialized pinctrl subsystem
    [    0.363996] NET: Registered protocol family 16
    [    0.365231] DMA: preallocated 256 KiB pool for atomic coherent allocations
    [    0.390393] cpuidle: using governor ladder
    [    0.420419] cpuidle: using governor menu
    [    0.431178] OMAP GPIO hardware version 0.1
    [    0.439933] irq: no irq domain found for /ocp/l4@4a000000/scm@2000/pinmux@1400 !
    [    0.464559] hw-breakpoint: found 5 (+1 reserved) breakpoint and 4 watchpoint registers.
    [    0.464572] hw-breakpoint: maximum watchpoint size is 8 bytes.
    [    0.465107] omap4_sram_init:Unable to allocate sram needed to handle errata I688
    [    0.465120] omap4_sram_init:Unable to get sram pool needed to handle errata I688
    [    0.465853] OMAP DMA hardware revision 0.0
    [    0.555061] omap-dma-engine 4a056000.dma-controller: OMAP DMA engine driver (LinkedList1/2/)
    [    0.556520] edma 43300000.edma: memcpy is disabled
    [    0.563051] edma 43300000.edma: TI EDMA DMA engine driver
    [    0.567465] omap-iommu 58882000.mmu: 58882000.mmu registered
    [    0.567693] omap-iommu 55082000.mmu: 55082000.mmu registered
    [    0.569802] SCSI subsystem initialized
    [    0.571422] palmas 0-0058: Irq flag is 0x00000000
    [    0.592543] palmas 0-0058: Muxing GPIO 51, PWM 0, LED 2
    [    0.641723] omap_i2c 48070000.i2c: bus 0 rev0.12 at 400 kHz
    [    0.642454] omap_i2c 48060000.i2c: bus 2 rev0.12 at 100 kHz
    [    0.643115] omap_i2c 4807a000.i2c: bus 3 rev0.12 at 400 kHz
    [    0.643305] media: Linux media interface: v0.10
    [    0.643373] Linux video capture interface: v2.00
    [    0.643433] pps_core: LinuxPPS API ver. 1 registered
    [    0.643442] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@>
    [    0.643475] PTP clock support registered
    [    0.643531] EDAC MC: Ver: 3.0.0
    [    0.651447] omap-mailbox 48840000.mailbox: omap mailbox rev 0x400
    [    0.651695] omap-mailbox 48842000.mailbox: omap mailbox rev 0x400
    [    0.652115] Advanced Linux Sound Architecture Driver Initialized.
    [    0.653180] clocksource: Switched to clocksource arch_sys_counter
    [    0.666645] NET: Registered protocol family 2
    [    0.667265] TCP established hash table entries: 8192 (order: 3, 32768 bytes)
    [    0.667332] TCP bind hash table entries: 8192 (order: 4, 65536 bytes)
    [    0.667458] TCP: Hash tables configured (established 8192 bind 8192)
    [    0.667522] UDP hash table entries: 512 (order: 2, 16384 bytes)
    [    0.667556] UDP-Lite hash table entries: 512 (order: 2, 16384 bytes)
    [    0.667813] NET: Registered protocol family 1
    [    0.678188] RPC: Registered named UNIX socket transport module.
    [    0.678198] RPC: Registered udp transport module.
    [    0.678206] RPC: Registered tcp transport module.
    [    0.678214] RPC: Registered tcp NFSv4.1 backchannel transport module.
    [    0.679401] hw perfevents: enabled with armv7_cortex_a15 PMU driver, 7 counters available
    [    0.682554] futex hash table entries: 256 (order: 2, 16384 bytes)
    [    0.701708] squashfs: version 4.0 (2009/01/31) Phillip Lougher
    [    0.712564] NFS: Registering the id_resolver key type
    [    0.712608] Key type id_resolver registered
    [    0.712617] Key type id_legacy registered
    [    0.712698] ntfs: driver 2.1.32 [Flags: R/O].
    [    0.715515] bounce: pool size: 64 pages
    [    0.715724] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247)
    [    0.715745] io scheduler noop registered
    [    0.715762] io scheduler deadline registered
    [    0.715802] io scheduler cfq registered (default)
    [    0.718589] ldo4: supplied by vdd_5v0
    [    2.014709] clock: dpll_pcie_ref_ck failed transition to 'locked'
    [    2.016424] pinctrl-single 4a003400.pinmux: 282 pins at pa fc003400 size 1128
    [    2.016603] pinctrl-single 4a002e8c.pinmux: 1 pins at pa fc002e8c size 4
    [    2.021982] backlight supply power not found, using dummy regulator
    [    2.049689] omap_hwmod: i2c1: _wait_target_disable failed
    [    2.102848] Serial: 8250/16550 driver, 10 ports, IRQ sharing disabled
    [    2.107260] 4806a000.serial: ttyS0 at MMIO 0x4806a000 (irq = 299, base_baud = 3000000) is a0
    [    2.108488] 4806c000.serial: ttyS1 at MMIO 0x4806c000 (irq = 300, base_baud = 3000000) is a0
    [    2.109656] console [ttyS2] disabled
    [    2.109711] 48020000.serial: ttyS2 at MMIO 0x48020000 (irq = 301, base_baud = 3000000) is a0
    [    2.958700] console [ttyS2] enabled
    [    2.963430] 48066000.serial: ttyS4 at MMIO 0x48066000 (irq = 302, base_baud = 3000000) is a0
    [    2.973412] 48424000.serial: ttyS8 at MMIO 0x48424000 (irq = 303, base_baud = 3000000) is a0
    [    2.983575] [drm] Initialized drm 1.1.0 20060810
    [    2.989732] ldo5: supplied by vdd_3v3
    [    2.994090] OMAP DSS rev 6.1
    [    2.997790] omapdss_dss 58000000.dss: bound 58001000.dispc (ops dispc_component_ops)
    [    3.096244] loop: module loaded
    [    3.099681] at24 2-0050: 4096 byte 24c32 EEPROM, writable, 32 bytes/write
    [    3.110689] m25p80 spi32766.0: s25fl512s (65536 Kbytes)
    [    3.116088] 8 ofpart partitions found on MTD device spi32766.0
    [    3.121947] Creating 8 MTD partitions on "spi32766.0":
    [    3.127131] 0x000000000000-0x000000040000 : "QSPI.MLO"
    [    3.143581] 0x000000040000-0x000000140000 : "QSPI.u-boot.img"
    [    3.160561] 0x000000140000-0x0000001c0000 : "QSPI.devicetree"
    [    3.177571] 0x0000001c0000-0x000000200000 : "QSPI.u-boot-env"
    [    3.194561] 0x000000200000-0x000000240000 : "QSPI.u-boot-env.redund"
    [    3.212147] 0x000000240000-0x000000a40000 : "QSPI.uImage"
    [    3.228793] 0x000000a40000-0x000000ac0000 : "QSPI.logo"
    [    3.245247] 0x000000ac0000-0x000004000000 : "QSPI.userland"
    [    3.263344] libphy: Fixed MDIO Bus: probed
    [    3.270913] mousedev: PS/2 mouse device common for all mice
    [    3.287447] i2c /dev entries driver
    [    3.292536] vpe 489d0000.vpe: loading firmware vpdma-1b8.bin
    [    3.304250] ldo1: supplied by vdd_3v3
    [    3.313409] vpe 489d0000.vpe: Device registered as /dev/video0
    [    3.394064] ledtrig-cpu: registered to indicate activity on CPUs
    [    3.415973] NET: Registered protocol family 10
    [    3.443479] sit: IPv6 over IPv4 tunneling driver
    [    3.448823] NET: Registered protocol family 17
    [    3.463471] Key type dns_resolver registered
    [    3.467860] omap_voltage_late_init: Voltage driver support not added
    [    3.483872] Adding alias for supply vdd,cpu0 -> vdd,4a003b20.oppdm
    [    3.490084] Adding alias for supply vbb,cpu0 -> vbb,4a003b20.oppdm
    [    3.513250] smps1: supplied by vdd_3v3
    [    3.517767] Adding alias for supply vdd,cpu0 -> vdd,4a003b20.oppdm
    [    3.533211] Adding alias for supply vbb,cpu0 -> vbb,4a003b20.oppdm
    [    3.554440] Power Management for TI OMAP4+ devices.
    [    3.559543] Registering SWP/SWPB emulation handler
    [    3.578018] dmm 4e000000.dmm: initialized all PAT entries
    [    3.604541] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
    [    3.611182] [drm] No driver support for vblank timestamp query.
    [    3.626302] [drm] Enabling DMM ywrap scrolling
    [    3.657112] Console: switching to colour frame buffer device 240x45
    [    3.670366] mmc0: host does not support reading read-only switch, assuming write-enable
    [    3.678504] mmc0: new high speed SDHC card at address aaaa
    [    3.684327] omapdrm omapdrm.0: fb0: omapdrm frame buffer device
    [    3.694453] mmcblk0: mmc0:aaaa SL16G 14.8 GiB
    [    3.704240]  mmcblk0: p1 p2
    [    3.714406] [drm] Initialized omapdrm 1.0.0 20110917 on minor 0
    [    3.741111] hctosys: unable to open rtc device (rtc0)
    [    3.759894] mmc1: MAN_BKOPS_EN bit is not set
    [    3.764820] ALSA device list:
    [    3.767809]   No soundcards found.
    [    3.774964] mmc1: new high speed MMC card at address 0001
    [    3.791079] mmcblk1: mmc1:0001 Q2J54A 3.59 GiB
    [    3.807416] mmcblk1boot0: mmc1:0001 Q2J54A partition 1 16.0 MiB
    [    3.823644] mmcblk1boot1: mmc1:0001 Q2J54A partition 2 16.0 MiB
    [    3.830649]  mmcblk1: p1 p2
    [    3.851022] EXT4-fs (mmcblk0p2): recovery complete
    [    3.857151] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
    [    3.865339] VFS: Mounted root (ext4 filesystem) on device 179:2.
    [    3.875136] devtmpfs: mounted
    [    3.878323] Freeing unused kernel memory: 340K (c0947000 - c099c000)
    [    3.884722] This architecture does not have kernel memory protection.
    [    4.112116] systemd[1]: System time before build time, advancing clock.
    [    4.163250] random: systemd: uninitialized urandom read (16 bytes read, 13 bits of entropy )
    [    4.179529] random: systemd: uninitialized urandom read (16 bytes read, 13 bits of entropy )
    [    4.196617] systemd[1]: systemd 229 running in system mode. (+PAM -AUDIT -SELINUX +IMA -APP)
    [    4.215269] systemd[1]: Detected architecture arm.

    Welcome to Arago master!

    [    4.247853] systemd[1]: Set hostname to <actia-global-display>.
    [    4.302832] random: systemd-gpt-aut: uninitialized urandom read (16 bytes read, 15 bits of )
    [    4.316415] random: systemd-sysv-ge: uninitialized urandom read (16 bytes read, 15 bits of )
    [    4.328571] random: systemd-gpt-aut: uninitialized urandom read (16 bytes read, 15 bits of )
    [    4.354365] random: systemd-sysv-ge: uninitialized urandom read (16 bytes read, 16 bits of )
    [    4.371284] random: systemd-sysv-ge: uninitialized urandom read (16 bytes read, 16 bits of )
    [    4.459783] random: systemd: uninitialized urandom read (16 bytes read, 19 bits of entropy )
    [    4.469461] random: systemd: uninitialized urandom read (16 bytes read, 19 bits of entropy )
    [    4.479883] random: systemd: uninitialized urandom read (16 bytes read, 19 bits of entropy )
    [    5.017876] systemd[1]: sysinit.target: Found ordering cycle on sysinit.target/start
    [    5.025829] systemd[1]: sysinit.target: Found dependency on alignment.service/start
    [    5.033633] systemd[1]: sysinit.target: Found dependency on basic.target/start
    [    5.040895] systemd[1]: sysinit.target: Found dependency on sockets.target/start
    [    5.055165] omap_hwmod: i2c1: _wait_target_disable failed
    [    5.060635] systemd[1]: sysinit.target: Found dependency on rpcbind.socket/start
    [    5.068095] systemd[1]: sysinit.target: Found dependency on sysinit.target/start
    [    5.075799] systemd[1]: sysinit.target: Breaking ordering cycle by deleting job alignment.st
    [    5.085264] systemd[1]: alignment.service: Job alignment.service/start deleted to break ordt
    [ SKIP ] Ordering cycle found, skipping alignment.service
    [    5.125619] systemd[1]: Reached target Swap.
    [  OK  ] Reached target Swap.
    [    5.155323] systemd[1]: Created slice System Slice.
    [  OK  ] Created slice System Slice.
    [    5.183661] systemd[1]: Listening on Journal Socket (/dev/log).
    [  OK  ] Listening on Journal Socket (/dev/log).
    [    5.213640] systemd[1]: Started Dispatch Password Requests to Console Directory Watch.
    [  OK  ] Started Dispatch Password Requests to Console Directory Watch.
    [    5.244258] systemd[1]: Created slice system-getty.slice.
    [  OK  ] Created slice system-getty.slice.
    [    5.273691] systemd[1]: Listening on Network Service Netlink Socket.
    [  OK  ] Listening on Network Service Netlink Socket.
    [    5.303485] systemd[1]: Listening on udev Kernel Socket.
    [  OK  ] Listening on udev Kernel Socket.
    [    5.333587] systemd[1]: Listening on Journal Socket.
    [  OK  ] Listening on Journal Socket.
    [    5.370154] systemd[1]: Mounting Temporary Directory...
             Mounting Temporary Directory...
    [    5.409143] systemd[1]: Starting Load Kernel Modules...
             Starting Load Kernel Modules...
    [    5.434118] CMEMK module: reference Linux version 4.4.45
    [    5.440028] no physical memory specified
    [    5.447204] systemd[1]: Starting Remount Root and Kernel File Systems...
    [    5.454065] cmemk initialized
             Starting Remount Root and Kernel File Systems...
    [    5.482678] cryptodev: driver 1.8 loaded.
    [    5.494449] systemd[1]: Listening on udev Control Socket.
    [    5.503442] EXT4-fs (mmcblk0p2): re-mounted. Opts: (null)
    [  OK  ] Listening on udev Control Socket.
    [    5.536377] systemd[1]: Starting Create list of required static device nodes for the curren.
             Starting Create list of required st... nodes for the current kernel...
    [    5.574749] systemd[1]: Created slice system-serial\x2dgetty.slice.
    [  OK  ] Created slice system-serial\x2dgetty.slice.
    [    5.606002] systemd[1]: Starting Setup Virtual Console...
             Starting Setup Virtual Console...
    [    5.628361] systemd[1]: Created slice User and Session Slice.
    [  OK  ] Created slice User and Session Slice.
    [    5.653520] systemd[1]: Reached target Slices.
    [  OK  ] Reached target Slices.
    [    5.676121] systemd[1]: Mounting Debug File System...
             Mounting Debug File System...
    [    5.706484] systemd[1]: Mounting POSIX Message Queue File System...
             Mounting POSIX Message Queue File System...
    [    5.743733] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
    [  OK  ] Started Forward Password Requests to Wall Directory Watch.
    [    5.773471] systemd[1]: Reached target Paths.
    [  OK  ] Reached target Paths.
    [    5.794242] systemd[1]: Reached target Remote File Systems.
    [  OK  ] Reached target Remote File Systems.
    [    5.823610] systemd[1]: Listening on /dev/initctl Compatibility Named Pipe.
    [  OK  ] Listening on /dev/initctl Compatibility Named Pipe.
    [    5.853512] systemd[1]: Listening on Syslog Socket.
    [  OK  ] Listening on Syslog Socket.
    [    5.886111] systemd[1]: Starting Journal Service...
             Starting Journal Service...
    [    5.925249] systemd[1]: Mounted Debug File System.
    [  OK  ] Mounted Debug File System.
    [    5.943665] systemd[1]: Mounted POSIX Message Queue File System.
    [  OK  ] Mounted POSIX Message Queue File System.
    [    5.973594] systemd[1]: Mounted Temporary Directory.
    [  OK  ] Mounted Temporary Directory.
    [    6.004021] systemd[1]: Started Journal Service.
    [  OK  ] Started Journal Service.
    [  OK  ] Started Load Kernel Modules.
    [  OK  ] Started Remount Root and Kernel File Systems.
    [  OK  ] Started Create list of required sta...ce nodes for the current kernel.
    [  OK  ] Started Setup Virtual Console.
             Starting Create Static Device Nodes in /dev...
             Starting udev Coldplug all Devices...
             Starting Apply Kernel Variables...
             Starting Flush Journal to Persistent Storage...
    [  OK  ] Started Create Static Device Nodes in /dev.
    [  OK  ] Started Apply Kernel Variables.
    [    6.783483] systemd-journald[145]: Received request to flush runtime journal from PID 1
    [  OK  ] Started Flush Journal to Persistent Storage.
    [  OK  ] Reached target Local File Systems (Pre).
             Mounting /var/volatile...
             Starting udev Kernel Device Manager...
    [  OK  ] Mounted /var/volatile.
    [  OK  ] Started udev Kernel Device Manager.
    [  OK  ] Reached target Local File Systems.
             Starting Create Volatile Files and Directories...
             Starting Load/Save Random Seed...
    [  OK  ] Started Create Volatile Files and Directories.
    [  OK  ] Started Load/Save Random Seed.
             Starting Update UTMP about System Boot/Shutdown...
             Starting Network Time Synchronization...
    [    7.999336] omap-des 480a5000.des: OMAP DES hw accel rev: 2.2
    [  OK  ] Found device /dev/ttyS2.
    [    8.049841] omap_hwmod: i2c1: _wait_target_disable failed
    [    8.083885] input: eGalax_Touch_Screen as /devices/virtual/input/input0
    [    8.109202] alg: skcipher: setkey failed on test 5 for ecb-des-omap: flags=100
    [  OK  ] Started udev Coldplug all Devices.[    8.170967] alg: skcipher-ddst: setkey failed on0

    [  OK  ] Started Network Time Synchronization.
    [    8.318311] alg: skcipher-ddst: setkey failed on test 5 for ecb-des-omap: flags=100
    [    8.416485] random: nonblocking pool is initialized
    [    8.454474] omap_rng 48090000.rng: OMAP Random Number Generator ver. 20
    [  OK  ] Started Update UTMP about System Boot/Shutdown.
    [  OK  ] Reached target System Time Synchronized.
             Starting Synchronize System and HW clocks...
    [FAILED] Failed to start Synchronize System and HW clocks.
    See 'systemctl status sync-clocks.service' for details.
    [    9.381046] omap-sham 4b101000.sham: hw accel on OMAP rev 4.3
    [    9.513702] EXT4-fs (mmcblk1p2): mounted filesystem with ordered data mode. Opts: (null)
    [  OK  ] Reached target System Initialization.
    [  OK  ] Listening on Avahi mDNS/DNS-SD Stack Activation Socket.
    [    9.579251] FAT-fs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt.
    [  OK  ] Listening on D-Bus System Message Bus Socket.
    [  OK  ] Started Daily Cleanup of Temporary Directories.
    [  OK  ] Reached target Timers.
             Starting sshd.socket.
    [  OK  ] Listening on RPCbind Server Activation Socket.
    [  OK  ] Listening on sshd.socket.
    [   10.021120] omap-aes 4b500000.aes: OMAP AES hw accel rev: 3.3
    [   10.257218] omap-aes 4b700000.aes: OMAP AES hw accel rev: 3.3
    [   10.298451] omap-rproc 58820000.ipu: assigned reserved memory node ipu1_cma@9d000000
    [   10.347437]  remoteproc0: 58820000.ipu is available
    [   10.352351]  remoteproc0: Note: remoteproc is still under development and considered experi.
    [   10.451787]  remoteproc0: THE BINARY FORMAT IS NOT YET FINALIZED, and backward compatibilit.
    [  OK  ] Created slice system-systemd\x2dbacklight.slice.
             Starting Load/Save Screen Backlight...htness of backlight:backlight...[   10.545314] 1

    [  OK  ] Reached target Sockets.
    [  OK  ] Reached target Basic System.
             Starting Avahi mDNS/DNS-SD Stack...
    [   10.660062] omap-rproc 55020000.ipu: assigned reserved memory node ipu2_cma@95800000
             Starting rc.pvr.service...
    [   10.695893]  remoteproc1: 55020000.ipu is available
    [  OK  ] Started D-Bus System Message Bus.
    [   10.761213]  remoteproc1: Note: remoteproc is still under development and considered experi.
    [   10.825772]  remoteproc0: registered virtio0 (type 7)
    [   10.848622]  remoteproc1: THE BINARY FORMAT IS NOT YET FINALIZED, and backward compatibilit.
    [   10.933740] PVR_K: UM DDK-(3699939) and KM DDK-(3699939) match. [ OK ]
    [   11.219160]  remoteproc1: registered virtio1 (type 7)
    [  OK  ] Started Avahi mDNS/DNS-SD Stack.
             Starting Network Service...
             Starting Connection service...
    [  OK  ] Started System Logging Service.
             Starting Login Service...
             Starting Permit User Sessions...
    [  OK  ] Started Kernel Logging Service.
    [  OK  ] Started Job spooling tools.
    [  OK  ] Started Network Service.
    [  OK  ] Started Load/Save Screen Backlight Brightness of backlight:backlight.
    [  OK  ] Started rc.pvr.service.
    [  OK  ] Started Permit User Sessions.
    [   12.512840]  remoteproc0: powering up 58820000.ipu
    [   12.581398]  remoteproc0: Booting fw image dra7-ipu1-fw.xem4, size 5083964
    [   12.634106] omap-iommu 58882000.mmu: 58882000.mmu: version 2.1
    [   12.689268] ------------[ cut here ]------------
    [   12.693919] WARNING: CPU: 0 PID: 227 at /home/michelcatudal/ti-processor-sdk-linux-automoti)
    [   12.716955] 44000000.ocp:L3 Custom Error: MASTER MPU TARGET L4_PER3_P3 (Idle): Data Access s
    [   12.729087] Modules linked in: virtio_rpmsg_bus(+) dwc3(+) udc_core bc_example(O) pvrsrvkm()
    [   12.759382] CPU: 0 PID: 227 Comm: systemd-udevd Tainted: G           O    4.4.45-actia-3-gf1
    [   12.768811] Hardware name: Generic DRA72X (Flattened Device Tree)
    [   12.774925] Backtrace:
    [   12.777393] [<c0013af0>] (dump_backtrace) from [<c0013cec>] (show_stack+0x18/0x1c)
    [   12.784991]  r7:200c0193 r6:c09c8acc r5:00000000 r4:c09c8acc
    [   12.790701] [<c0013cd4>] (show_stack) from [<c02b771c>] (dump_stack+0x80/0x94)
    [   12.797952] [<c02b769c>] (dump_stack) from [<c00355e4>] (warn_slowpath_common+0x88/0xb8)
    [   12.806073]  r7:c02e40b0 r6:00000093 r5:00000009 r4:ee37b858
    [   12.811778] [<c003555c>] (warn_slowpath_common) from [<c003564c>] (warn_slowpath_fmt+0x38/0)
    [   12.820508]  r8:00000017 r7:c0890434 r6:00000000 r5:c08904f4 r4:c0890598
    [   12.827269] [<c0035618>] (warn_slowpath_fmt) from [<c02e40b0>] (l3_interrupt_handler+0x25c/)
    [   12.836174]  r3:ef1a4000 r2:c0890598
    [   12.839771]  r4:80080003
    [   12.842321] [<c02e3e54>] (l3_interrupt_handler) from [<c0082064>] (handle_irq_event_percpu+)
    [   12.851661]  r10:c09f89ca r9:ef1996c0 r8:00000017 r7:00000000 r6:00000000 r5:ef199720
    [   12.859555]  r4:ef1a4500
    [   12.862103] [<c0081fb0>] (handle_irq_event_percpu) from [<c0082150>] (handle_irq_event+0x40)
    [   12.871008]  r10:ee37ba20 r9:ef006000 r8:00000001 r7:00000000 r6:c09a3c44 r5:ef199720
    [   12.878898]  r4:ef1996c0
    [   12.881446] [<c0082110>] (handle_irq_event) from [<c00854cc>] (handle_fasteoi_irq+0xf0/0x1b)
    [   12.890001]  r7:00000000 r6:c09a3c44 r5:ef199720 r4:ef1996c0
    [   12.895709] [<c00853dc>] (handle_fasteoi_irq) from [<c0081624>] (generic_handle_irq+0x2c/0x)
    [   12.904352]  r7:00000000 r6:00000017 r5:00000000 r4:c099840c
    [   12.910059] [<c00815f8>] (generic_handle_irq) from [<c008191c>] (__handle_domain_irq+0x84/0)
    [   12.918793] [<c0081898>] (__handle_domain_irq) from [<c000952c>] (gic_handle_irq+0x40/0x7c)
    [   12.927174]  r10:00000003 r9:ef1eea00 r8:fa213000 r7:fa212000 r6:ee37ba20 r5:fa21200c
    [   12.935066]  r4:c099e8d4 r3:ee37ba20
    [   12.938667] [<c00094ec>] (gic_handle_irq) from [<c0014814>] (__irq_svc+0x54/0x90)
    [   12.946176] Exception stack(0xee37ba20 to 0xee37ba68)
    [   12.951246] ba20: ef1ea074 600c0013 00000001 0000000e 00000000 00000004 ef1ea074 600c0013
    [   12.959457] ba40: 00000000 ef1eea00 00000003 ee37ba7c ee37ba80 ee37ba70 c03f2948 c069d1b8
    [   12.967664] ba60: 200c0013 ffffffff
    [   12.971162]  r9:ef1eea00 r8:00000000 r7:ee37ba54 r6:ffffffff r5:200c0013 r4:c069d1b8
    [   12.978976] [<c069d190>] (_raw_spin_unlock_irqrestore) from [<c03f2948>] (__pm_runtime_idle)
    [   12.988321] [<c03f28d4>] (__pm_runtime_idle) from [<c003262c>] (_omap_dm_timer_request+0x10)
    [   12.997399]  r7:00000000 r6:ef6b259c r5:00000003 r4:ef30f910
    [   13.003107] [<c0032520>] (_omap_dm_timer_request) from [<c003289c>] (omap_dm_timer_request_)
    [   13.013058]  r9:ef1eea00 r8:ef6b259c r7:ee356278 r6:ef6b259c r5:edf06c58 r4:00000001
    [   13.020867] [<c0032880>] (omap_dm_timer_request_by_node) from [<c002f4a8>] (omap_rproc_requ)
    [   13.031001] [<c002f494>] (omap_rproc_request_timer) from [<bf09432c>] (omap_rproc_enable_ti)
    [   13.042348]  r7:ee356278 r6:c09ad448 r5:edf06c58 r4:00000001
    [   13.048063] [<bf094254>] (omap_rproc_enable_timers [omap_remoteproc]) from [<bf094b4c>] (om)
    [   13.060281]  r10:dd103000 r9:ef1eea00 r8:c09ad43c r7:ee356000 r6:00000000 r5:ef1eea10
    [   13.068172]  r4:ee356278
    [   13.070732] [<bf094ac0>] (omap_rproc_start [omap_remoteproc]) from [<bf088d14>] (rproc_boot)
    [   13.081380]  r9:00000000 r8:ee356020 r7:ee3561ac r6:ee3561b4 r5:00000000 r4:ee356000
    [   13.089201] [<bf0888b8>] (rproc_boot [remoteproc]) from [<bf089ae4>] (rproc_virtio_find_vqs)
    [   13.099850]  r10:ee356020 r9:00000002 r8:bf184f10 r7:edd11410 r6:edd115f0 r5:ee37bc44
    [   13.107739]  r4:00000002
    [   13.110301] [<bf089958>] (rproc_virtio_find_vqs [remoteproc]) from [<bf18422c>] (rpmsg_prob)
    [   13.121473]  r10:00000000 r9:00000000 r8:edd11410 r7:ee37bc38 r6:ee356000 r5:eca6f300
    [   13.129365]  r4:bf089958
    [   13.131923] [<bf184170>] (rpmsg_probe [virtio_rpmsg_bus]) from [<bf0808a0>] (virtio_dev_pro)
    [   13.142398]  r10:00000000 r9:00000000 r8:00000000 r7:edd11420 r6:edd11410 r5:00000000
    [   13.150288]  r4:00000000
    [   13.152838] [<bf080670>] (virtio_dev_probe [virtio]) from [<c03e931c>] (driver_probe_device)
    [   13.162352]  r10:00000000 r9:ec806c48 r8:0000000d r7:bf184fb0 r6:00000000 r5:edd11420
    [   13.170245]  r4:c0a35ac4
    [   13.172791] [<c03e9118>] (driver_probe_device) from [<c03e94a4>] (__driver_attach+0x94/0x98)
    [   13.181260]  r9:ec806c48 r8:137f93dc r7:00000000 r6:edd11454 r5:bf184fb0 r4:edd11420
    [   13.189070] [<c03e9410>] (__driver_attach) from [<c03e75a4>] (bus_for_each_dev+0x70/0xa4)
    [   13.197277]  r7:00000000 r6:c03e9410 r5:bf184fb0 r4:00000000
    [   13.202987] [<c03e7534>] (bus_for_each_dev) from [<c03e8c08>] (driver_attach+0x24/0x28)
    [   13.211020]  r6:bf0811bc r5:eca6f400 r4:bf184fb0
    [   13.215672] [<c03e8be4>] (driver_attach) from [<c03e8844>] (bus_add_driver+0x1a8/0x220)
    [   13.223708] [<c03e869c>] (bus_add_driver) from [<c03e9c44>] (driver_register+0x80/0x100)
    [   13.231827]  r7:ec806d40 r6:c09a16b0 r5:bf188000 r4:bf184fb0
    [   13.237539] [<c03e9bc4>] (driver_register) from [<bf0805c0>] (register_virtio_driver+0x30/0)
    [   13.247054]  r5:bf188000 r4:00000000
    [   13.250663] [<bf080590>] (register_virtio_driver [virtio]) from [<bf188044>] (rpmsg_init+0x)
    [   13.261407] [<bf188000>] (rpmsg_init [virtio_rpmsg_bus]) from [<c00098cc>] (do_one_initcall)
    [   13.270835]  r5:bf188000 r4:c09a16b0
    [   13.274438] [<c0009834>] (do_one_initcall) from [<c00d10e8>] (do_init_module+0x68/0x398)
    [   13.282558]  r10:bf1850c0 r9:ec806c48 r8:137f93dc r7:00000001 r6:ec806d00 r5:00000001
    [   13.290448]  r4:bf1850c0
    [   13.292999] [<c00d1080>] (do_init_module) from [<c00ad8c8>] (load_module+0x1dac/0x2060)
    [   13.301031]  r6:ec806c40 r5:00000001 r4:ee37bf44
    [   13.305685] [<c00abb1c>] (load_module) from [<c00add68>] (SyS_finit_module+0x88/0x98)
    [   13.313543]  r10:00000000 r9:ee37a000 r8:c000fe24 r7:0000017b r6:b6e2ad90 r5:00000007
    [   13.321434]  r4:00000000
    [   13.323982] [<c00adce0>] (SyS_finit_module) from [<c000fc60>] (ret_fast_syscall+0x0/0x3c)
    [   13.332189]  r6:00000000 r5:7f656928 r4:00000000
    [   13.336840] ---[ end trace dbe066bb9d62f918 ]---
    [   14.704147] usbcore: registered new interface driver usbfs
    [   14.728746] usbcore: registered new interface driver hub
    [   14.745484] usbcore: registered new device driver usb
    [   14.766609] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
    [   14.772154] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
    [   14.817807] xhci-hcd xhci-hcd.0.auto: hcc params 0x0220f04c hci version 0x100 quirks 0x00210
    [   14.849977] xhci-hcd xhci-hcd.0.auto: irq 417, io mem 0x48890000
    [   14.876557] hub 1-0:1.0: USB hub found
    [   14.893260] hub 1-0:1.0: 1 port detected
    [   14.905235] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
    [   14.910759] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2
    [   14.956902] usb usb2: We don't know the algorithms for LPM for this host, disabling LPM.
    [   14.988017] hub 2-0:1.0: USB hub found
    [   15.007183] hub 2-0:1.0: 1 port detected
    [   15.019714] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller
    [   15.041112] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 3
    [  OK  ] Started Connection service.
    [   15.084780] xhci-hcd xhci-hcd.1.auto: hcc params 0x0220f04c hci version 0x100 quirks 0x00210
    [  OK  ] Started Login Service.[   15.116053] xhci-hcd xhci-hcd.1.auto: irq 418, io mem 0x488d0

    [   15.144946] hub 3-0:1.0: USB hub found
             Starting Hostname Service...
    [  OK  ] Listening on Load/Save RF Kill Switch Status /dev/rfkill Watch.[   15.172250] hub 3-0d

    [  OK  ] Started Getty on tty1.
    [   15.199060] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller
    [  OK  ] Started Serial Getty on ttyS2.
    [   15.223266] usb 1-1: new high-speed USB device number 2 using xhci-hcd
    [   15.232700] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 4
    [  OK  ] Reached target Login Prompts.
    [   15.258592] usb usb4: We don't know the algorithms for LPM for this host, disabling LPM.
             Starting tiipclad-daemon.service...
    [   15.296441] hub 4-0:1.0: USB hub found
    [  OK  ] Reached target Network.
    [   15.312035] hub 4-0:1.0: 1 port detected
             Starting Network Name Resolution...
    [  OK  ] Started Hostname Service.
    [   15.513253] usb 3-1: new low-speed USB device number 2 using xhci-hcd
    [  OK  ] Started Network Name Resolution.
    [  OK  ] Started tiipclad-daemon.service.
    [   15.688815] usb 3-1: ep 0x81 - rounding interval to 128 microframes, ep desc says 192 micros
             Starting weston.service...
    [   15.812570] input: LITEON Technology USB Keyboard as /devices/platform/44000000.ocp/488c0001
    [  OK  ] Started weston.service.
             Starting gdbserverproxy.service...
    [  OK  ] Started gdbserverproxy.service.
    [   15.982624] hid-generic 0003:045E:07B9.0001: input: USB HID v1.10 Keyboard [LITEON Technolo0
             Starting thermal-zone-init.service...
    [   16.029981] usbcore: registered new interface driver usbhid
    [   16.074507] usbhid: USB HID core driver
    [  OK  ] Started thermal-zone-init.service.
    [   16.154466] ------------[ cut here ]------------
    [   16.159119] WARNING: CPU: 0 PID: 227 at /home/michelcatudal/ti-processor-sdk-linux-automoti)
    [   16.182155] 44000000.ocp:L3 Custom Error: MASTER MPU TARGET L4_PER3_P3 (Idle): Data Access s
    [   16.194287] Modules linked in: usbhid asix(+) usbnet xhci_plat_hcd xhci_hcd usbcore virtio_)
    [   16.228988] CPU: 0 PID: 227 Comm: systemd-udevd Tainted: G        W  O    4.4.45-actia-3-gf1
    [   16.238417] Hardware name: Generic DRA72X (Flattened Device Tree)
    [   16.244531] Backtrace:
    [   16.247000] [<c0013af0>] (dump_backtrace) from [<c0013cec>] (show_stack+0x18/0x1c)
    [   16.254597]  r7:20010193 r6:c09c8acc r5:00000000 r4:c09c8acc
    [   16.260308] [<c0013cd4>] (show_stack) from [<c02b771c>] (dump_stack+0x80/0x94)
    [   16.267560] [<c02b769c>] (dump_stack) from [<c00355e4>] (warn_slowpath_common+0x88/0xb8)
    [   16.275680]  r7:c02e40b0 r6:00000093 r5:00000009 r4:ee37b858
    [   16.281388] [<c003555c>] (warn_slowpath_common) from [<c003564c>] (warn_slowpath_fmt+0x38/0)
    [   16.290117]  r8:00000017 r7:c0890434 r6:00000000 r5:c08904f4 r4:c0890598
    [   16.296878] [<c0035618>] (warn_slowpath_fmt) from [<c02e40b0>] (l3_interrupt_handler+0x25c/)
    [   16.305782]  r3:ef1a4000 r2:c0890598
    [   16.309378]  r4:80080003
    [   16.311928] [<c02e3e54>] (l3_interrupt_handler) from [<c0082064>] (handle_irq_event_percpu+)
    [   16.321270]  r10:c09f89ca r9:ef1996c0 r8:00000017 r7:00000000 r6:00000000 r5:ef199720
    [   16.329162]  r4:ef1a4500
    [   16.331710] [<c0081fb0>] (handle_irq_event_percpu) from [<c0082150>] (handle_irq_event+0x40)
    [   16.340615]  r10:ee37ba20 r9:ef006000 r8:00000001 r7:00000000 r6:c09a3c44 r5:ef199720
    [   16.348507]  r4:ef1996c0
    [   16.351055] [<c0082110>] (handle_irq_event) from [<c00854cc>] (handle_fasteoi_irq+0xf0/0x1b)
    [   16.359610]  r7:00000000 r6:c09a3c44 r5:ef199720 r4:ef1996c0
    [   16.365317] [<c00853dc>] (handle_fasteoi_irq) from [<c0081624>] (generic_handle_irq+0x2c/0x)
    [   16.373959]  r7:00000000 r6:00000017 r5:00000000 r4:c099840c
    [   16.379665] [<c00815f8>] (generic_handle_irq) from [<c008191c>] (__handle_domain_irq+0x84/0)
    [   16.388400] [<c0081898>] (__handle_domain_irq) from [<c000952c>] (gic_handle_irq+0x40/0x7c)
    [   16.396782]  r10:00000003 r9:ef1eea00 r8:fa213000 r7:fa212000 r6:ee37ba20 r5:fa21200c
    [   16.404672]  r4:c099e8d4 r3:ee37ba20
    [   16.408272] [<c00094ec>] (gic_handle_irq) from [<c0014814>] (__irq_svc+0x54/0x90)
    [   16.415781] Exception stack(0xee37ba20 to 0xee37ba68)
    [   16.420853] ba20: ef1ea274 60010013 00000001 0000000e 00000000 00000004 ef1ea274 60010013
    [   16.429062] ba40: 00000000 ef1eea00 00000003 ee37ba7c ee37ba80 ee37ba70 c03f2948 c069d1b8
    [   16.437270] ba60: 20010013 ffffffff
    [   16.440767]  r9:ef1eea00 r8:00000000 r7:ee37ba54 r6:ffffffff r5:20010013 r4:c069d1b8
    [   16.448584] [<c069d190>] (_raw_spin_unlock_irqrestore) from [<c03f2948>] (__pm_runtime_idle)
    [   16.457931] [<c03f28d4>] (__pm_runtime_idle) from [<c003262c>] (_omap_dm_timer_request+0x10)
    [   16.467010]  r7:00000000 r6:ef6b277c r5:00000003 r4:ef30f9d0
    [   16.472718] [<c0032520>] (_omap_dm_timer_request) from [<c003289c>] (omap_dm_timer_request_)
    [   16.482669]  r9:ef1eea00 r8:ef6b277c r7:ee356278 r6:ef6b277c r5:edf06c60 r4:00000002
    [   16.490478] [<c0032880>] (omap_dm_timer_request_by_node) from [<c002f4a8>] (omap_rproc_requ)
    [   16.500613] [<c002f494>] (omap_rproc_request_timer) from [<bf09432c>] (omap_rproc_enable_ti)
    [   16.511959]  r7:ee356278 r6:c09ad448 r5:edf06c60 r4:00000002
    [   16.517672] [<bf094254>] (omap_rproc_enable_timers [omap_remoteproc]) from [<bf094b4c>] (om)
    [   16.529891]  r10:dd103000 r9:ef1eea00 r8:c09ad43c r7:ee356000 r6:00000000 r5:ef1eea10
    [   16.537783]  r4:ee356278
    [   16.540342] [<bf094ac0>] (omap_rproc_start [omap_remoteproc]) from [<bf088d14>] (rproc_boot)
    [   16.550990]  r9:00000000 r8:ee356020 r7:ee3561ac r6:ee3561b4 r5:00000000 r4:ee356000
    [   16.558811] [<bf0888b8>] (rproc_boot [remoteproc]) from [<bf089ae4>] (rproc_virtio_find_vqs)
    [   16.569459]  r10:ee356020 r9:00000002 r8:bf184f10 r7:edd11410 r6:edd115f0 r5:ee37bc44
    [   16.577347]  r4:00000002
    [   16.579908] [<bf089958>] (rproc_virtio_find_vqs [remoteproc]) from [<bf18422c>] (rpmsg_prob)
    [   16.591080]  r10:00000000 r9:00000000 r8:edd11410 r7:ee37bc38 r6:ee356000 r5:eca6f300
    [   16.598971]  r4:bf089958
    [   16.601529] [<bf184170>] (rpmsg_probe [virtio_rpmsg_bus]) from [<bf0808a0>] (virtio_dev_pro)
    [   16.612003]  r10:00000000 r9:00000000 r8:00000000 r7:edd11420 r6:edd11410 r5:00000000
    [   16.619892]  r4:00000000
    [   16.622443] [<bf080670>] (virtio_dev_probe [virtio]) from [<c03e931c>] (driver_probe_device)
    [   16.631958]  r10:00000000 r9:ec806c48 r8:0000000d r7:bf184fb0 r6:00000000 r5:edd11420
    [   16.639850]  r4:c0a35ac4
    [   16.642398] [<c03e9118>] (driver_probe_device) from [<c03e94a4>] (__driver_attach+0x94/0x98)
    [   16.650866]  r9:ec806c48 r8:137f93dc r7:00000000 r6:edd11454 r5:bf184fb0 r4:edd11420
    [   16.658678] [<c03e9410>] (__driver_attach) from [<c03e75a4>] (bus_for_each_dev+0x70/0xa4)
    [   16.666884]  r7:00000000 r6:c03e9410 r5:bf184fb0 r4:00000000
    [   16.672592] [<c03e7534>] (bus_for_each_dev) from [<c03e8c08>] (driver_attach+0x24/0x28)
    [   16.680624]  r6:bf0811bc r5:eca6f400 r4:bf184fb0
    [   16.685275] [<c03e8be4>] (driver_attach) from [<c03e8844>] (bus_add_driver+0x1a8/0x220)
    [   16.693311] [<c03e869c>] (bus_add_driver) from [<c03e9c44>] (driver_register+0x80/0x100)
    [   16.701431]  r7:ec806d40 r6:c09a16b0 r5:bf188000 r4:bf184fb0
    [   16.707139] [<c03e9bc4>] (driver_register) from [<bf0805c0>] (register_virtio_driver+0x30/0)
    [   16.716653]  r5:bf188000 r4:00000000
    [   16.720262] [<bf080590>] (register_virtio_driver [virtio]) from [<bf188044>] (rpmsg_init+0x)
    [   16.731007] [<bf188000>] (rpmsg_init [virtio_rpmsg_bus]) from [<c00098cc>] (do_one_initcall)
    [   16.740435]  r5:bf188000 r4:c09a16b0
    [   16.744038] [<c0009834>] (do_one_initcall) from [<c00d10e8>] (do_init_module+0x68/0x398)
    [   16.752157]  r10:bf1850c0 r9:ec806c48 r8:137f93dc r7:00000001 r6:ec806d00 r5:00000001
    [   16.760049]  r4:bf1850c0
    [   16.762599] [<c00d1080>] (do_init_module) from [<c00ad8c8>] (load_module+0x1dac/0x2060)
    [   16.770631]  r6:ec806c40 r5:00000001 r4:ee37bf44
    [   16.775285] [<c00abb1c>] (load_module) from [<c00add68>] (SyS_finit_module+0x88/0x98)
    [   16.783143]  r10:00000000 r9:ee37a000 r8:c000fe24 r7:0000017b r6:b6e2ad90 r5:00000007
    [   16.791033]  r4:00000000
    [   16.793582] [<c00adce0>] (SyS_finit_module) from [<c000fc60>] (ret_fast_syscall+0x0/0x3c)
    [   16.801789]  r6:00000000 r5:7f656928 r4:00000000
    [   16.806442] ---[ end trace dbe066bb9d62f919 ]---
    [  OK  ] Reached target Multi-User System.
             Starting Update UTMP about System Runlevel Changes...
    [   16.978393] asix 1-1:1.0 eth0: register 'asix' at usb-xhci-hcd.0.auto-1, ASIX AX88772B USB 9
    [   17.073378] usbcore: registered new interface driver asix
    [  OK  ] Started Update UTMP about System Runlevel Changes.
    [   17.804493] IPv6: ADDRCONF(NETDEV_UP): eth0: link is not ready

     
          O       OOOO  OOOOOOO   O       O         ## ## ##
         O O     O         O      O      O O
        O   O    O         O      O     O   O      ## ## ##
       OOOOOOO   O         O      O    OOOOOOO
      O       O   OOOO     O      O   O       O   ## ## ##


    ACTIA Corp http://www.actiaus.com/ actia-global-display ttyS2


    Arago master actia-global-display ttyS2

    actia-global-display login: [   19.169424]  remoteproc0: remote processor 58820000.ipu is now p
    [   19.195050] virtio_rpmsg_bus virtio0: rpmsg host is online
    [   19.200667] virtio_rpmsg_bus virtio0: creating channel rpmsg-proto addr 0x3d
    [   19.224522]  remoteproc1: powering up 55020000.ipu
    [   19.290861]  remoteproc1: Booting fw image dra7-ipu2-fw.xem4, size 3747276
    [   19.314484] omap-iommu 55082000.mmu: 55082000.mmu: version 2.1
    [   19.912807]  remoteproc1: remote processor 55020000.ipu is now up
    [   19.944569] virtio_rpmsg_bus virtio1: rpmsg host is online
    [   19.950191] virtio_rpmsg_bus virtio1: creating channel rpmsg-rpc addr 0x65
    [   19.969982] virtio_rpmsg_bus virtio1: creating channel rpmsg-rpc addr 0x66
    [   19.995732] NET: Registered protocol family 41
    [   20.031858] rpmsg_rpc rpmsg1: probing service dce-callback with src 1024 dst 101
    [   20.081781] rpmsg_rpc rpmsg3: probing service rpmsg-dce with src 1025 dst 102
    [   20.089067] rpmsg_rpc rpmsg1: published functions = 4
    [   20.116207] rpmsg_rpc rpmsg3: published functions = 9
    [   20.845199] IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready
    [   20.851801] asix 1-1:1.0 eth0: link up, 100Mbps, full-duplex, lpa 0x45E1


    Michel Catudal

    ACTIA Corp

  • Some additional information:
    I modified the project for the M4 code (built in CCS7) and was able to get Linux to boot without the crash that Michel described above. There are two lines in the project's app.cfg file:
    xdc.useModule('ti.ipc.ipcmgr.IpcMgr');
    var Resource = xdc.useModule('ti.ipc.remoteproc.Resource');

    If both of those lines are commented out, there is no crash on startup. If either or those lines are included, we get the above-described crash.

    Any ideas?
  • Michel,

    Michel Catudal said:
    Question on IPU2, what is IPU2 used for?

    IPU2 is dedicated to IVA-HD support, for HD video encode/decode. See below links for more info:

    I will have a look on your other posts.

    Regards,
    Pavel

  • Michel,

    Michel Catudal said:
    With the micro SD boot the M4 code recovers, I still see some crashes

    Let we first fix "early boot late attach" for SD boot (as this is officially tested and supported), then we can switch to QSPI boot.

    Michel Catudal said:
    [   12.512840]  remoteproc0: powering up 58820000.ipu
    [   12.581398]  remoteproc0: Booting fw image dra7-ipu1-fw.xem4, size 5083964
    [   12.634106] omap-iommu 58882000.mmu: 58882000.mmu: version 2.1
    [   12.689268] ------------[ cut here ]------------
    [   12.693919] WARNING: CPU: 0 PID: 227 at /home/michelcatudal/ti-processor-sdk-linux-automoti)
    [   12.716955] 44000000.ocp:L3 Custom Error: MASTER MPU TARGET L4_PER3_P3 (Idle): Data Access s
    [   12.729087] Modules linked in: virtio_rpmsg_bus(+) dwc3(+) udc_core bc_example(O) pvrsrvkm()

    Have you made any changes in dra7-ipu1-fw.xem4 file or you are using the default one?

    From this log it seems to me that the system is trying to load dra7-ipu1-fw.xem4 file again from the rootfs/lib/firmware/dra7-ipu1-fw.xem4, which is not correct, as this file is already loaded from SPL. Please double check that you have updated your DTS file with 3 late attach attributes for ipu1, mmu, timers. Remove also the dra7-ipu1-fw.xem4 file from rootfs/lib/firmware/ folder and check how it goes.

    Please also enable debug messages in below files (dev_dbg, dev_info) and check how to flow goes.

    drivers/remoteproc/omap_remoteproc.c

    drivers/remoteproc/remoteproc_core.c

    drivers/iommu/omap-iommu.c

    I will also notify our "early boot late attach" expert for help.

    Regards,
    Pavel

  • Please also apply the below patches on top of PSDKLA 3.02 kernel:

    processors.wiki.ti.com/.../Processor_SDK_Linux_Automotive_Post_Release_Fixes

    Regards,
    Pavel
  • The firmware was still on /lib/firmware so we removed it. I will apply the patch to see if we get better results.

    I do have the correct settings in the device tree as explained in the documentation

    Michel

  • The firmware is custom, it has our CAN drivers for 5 CAN ports and has one interrupt pin to announce a CAN message and one output for a horn.

    Without the early boot late attach it takes 20-30 seconds to start the horn which is problematic if you are trying to tell a boat driver that there is likely to be a crash.

    Michel

  • When I take it out there is no crash but i get a message saying that it was not possible to load the firmware for IPU1

    The communication between that firmware and the Linux library was working when loaded from Linux but it doesn't work when loaded from u-boot.

    From the log it looks like it is loaded without any problem.

    Michel Catudal

    ACTIA Corp

  • Michel,

    I went through the whole thread. It looks like you have done the changes correctly. I will list the things once again so that we are in sync.

    1. Enabled early boot in u-boot through the KConfig. I assume you copied the required changes into your board directory.
    2. Customize the cores_to_boot array to IPU1.
    3. Reserved memory for the late attach pagetable in device tree (latea_pagetbl).
    4. Reserved memory for the temporary load location used by u-boot. (latea_for_ipu1)-> This is not necessary. This memory can be used by Linux once the MLO is done loading IPU1.

    On the kernel side, you seem to have the device tree atrributes setup. You also need to have the firmware present in /lib/firmware otherwise Linux cannot setup communication with the remotecore.

    You seem to have the remotecore loaded as well but facing issues with the core crashing when Linux comes up. This could be due to some peripherals used on the M4 being reset when Linux kernel is booting. If you can identify these peripherals e.g. CAN, you can remove these from the device tree or set appropriate flags to prevent M4 crash.

    I would suggest trying with one of the IPC examples (messageq_single or ipumm(dra7-ipu2-fw.xem4) ) as a test before trying late attach with your binary.

    regards,
    Venkat
  • IPU1 code crashes if any GPIO are accessed. I checked and none of those GPIO is accessed in Linux.

    We have a led that is handled by the M4 as well as several ones to access the microchip CAN devices

    Michel

  • Just toggling one LED, If I just have the internal CAN everything works fine but as soon as I use one GPIO IPU1 code crashes.

    None of the GPIO used by the Cortex M4 are listed in the device tree

    [    0.551149] omap-mailbox 48840000.mailbox: omap mailbox rev 0x400
    [    0.551348] omap-mailbox 48842000.mailbox: omap mailbox rev 0x400
    [    0.552361] clocksource: Switched to clocksource arch_sys_counter
    [    0.562568] NET: Registered protocol family 2
    [    0.563140] TCP established hash table entries: 8192 (order: 3, 32768 bytes)
    [    0.563205] TCP bind hash table entries: 8192 (order: 4, 65536 bytes)
    [    0.563330] TCP: Hash tables configured (established 8192 bind 8192)
    [    0.563415] UDP hash table entries: 512 (order: 2, 16384 bytes)
    [    0.563454] UDP-Lite hash table entries: 512 (order: 2, 16384 bytes)
    [    0.563687] NET: Registered protocol family 1
    [    0.566457] ------------[ cut here ]------------
    [    0.566476] WARNING: CPU: 0 PID: 0 at drivers/bus/omap_l3_noc.c:147 l3_interrupt_handler+0x25c/0x368()
    [    0.566486] 44000000.ocp:L3 Custom Error: MASTER IPU1 TARGET L4_PER1_P3 (Read): Data Access in User mode during Functional access
    [    0.566493] Modules linked in:
    [    0.566507] CPU: 0 PID: 0 Comm: swapper/0 Not tainted 4.4.45-actia-4 #8
    [    0.566515] Hardware name: Generic DRA72X (Flattened Device Tree)
    [    0.566521] Backtrace:
    [    0.566545] [<c0013b00>] (dump_backtrace) from [<c0013cfc>] (show_stack+0x18/0x1c)
    [    0.566552]  r7:c02e1228 r6:20000193 r5:00000000 r4:c087c6cc
    [    0.566582] [<c0013ce4>] (show_stack) from [<c02b74cc>] (dump_stack+0x8c/0xa0)
    [    0.566595] [<c02b7440>] (dump_stack) from [<c0034c28>] (warn_slowpath_common+0x88/0xb8)
    [    0.566601]  r7:c02e1228 r6:00000093 r5:00000009 r4:c0851d40
    [    0.566624] [<c0034ba0>] (warn_slowpath_common) from [<c0034c90>] (warn_slowpath_fmt+0x38/0x40)
    [    0.566629]  r8:00000017 r7:c07852b4 r6:00000002 r5:c0784e50 r4:c0784ef4
    [    0.566655] [<c0034c5c>] (warn_slowpath_fmt) from [<c02e1228>] (l3_interrupt_handler+0x25c/0x368)
    [    0.566661]  r3:ef1a2340 r2:c0784ef4
    [    0.566671]  r4:80080003
    [    0.566688] [<c02e0fcc>] (l3_interrupt_handler) from [<c0081488>] (handle_irq_event_percpu+0xb4/0x160)
    [    0.566695]  r10:c089d92a r9:ef1996c0 r8:00000017 r7:00000000 r6:00000000 r5:ef199720
    [    0.566716]  r4:ef1a2840
    [    0.566731] [<c00813d4>] (handle_irq_event_percpu) from [<c0081574>] (handle_irq_event+0x40/0x64)
    [    0.566737]  r10:c0852504 r9:c05ea284 r8:ef006000 r7:00000000 r6:c08579b4 r5:ef199720
    [    0.566757]  r4:ef1996c0
    [    0.566769] [<c0081534>] (handle_irq_event) from [<c00848a0>] (handle_fasteoi_irq+0xc0/0x194)
    [    0.566776]  r7:00000000 r6:c08579b4 r5:ef199720 r4:ef1996c0
    [    0.566797] [<c00847e0>] (handle_fasteoi_irq) from [<c0080ab4>] (generic_handle_irq+0x2c/0x3c)
    [    0.566803]  r7:00000000 r6:00000000 r5:00000017 r4:c084c404
    [    0.566825] [<c0080a88>] (generic_handle_irq) from [<c0080d8c>] (__handle_domain_irq+0x64/0xbc)
    [    0.566837] [<c0080d28>] (__handle_domain_irq) from [<c00094f0>] (gic_handle_irq+0x40/0x7c)
    [    0.566842]  r9:c05ea284 r8:fa213000 r7:fa212000 r6:c0851f00 r5:fa21200c r4:c08528d4
    [    0.566866] [<c00094b0>] (gic_handle_irq) from [<c00148d4>] (__irq_svc+0x54/0x90)
    [    0.566873] Exception stack(0xc0851f00 to 0xc0851f48)
    [    0.566885] 1f00: 00000001 00000000 00000000 c00207c0 c0850000 c08524a4 00000000 00000000
    [    0.566895] 1f20: c0851f70 c05ea284 c0852504 c0851f5c c0851f60 c0851f50 c00107b4 c00107b8
    [    0.566902] 1f40: 60000013 ffffffff
    [    0.566908]  r9:c05ea284 r8:c0851f70 r7:c0851f34 r6:ffffffff r5:60000013 r4:c00107b8
    [    0.566939] [<c0010778>] (arch_cpu_idle) from [<c0071b38>] (default_idle_call+0x28/0x34)
    [    0.566952] [<c0071b10>] (default_idle_call) from [<c0071d98>] (cpu_startup_entry+0x200/0x260)
    [    0.566967] [<c0071b98>] (cpu_startup_entry) from [<c05e1568>] (rest_init+0x90/0x94)
    [    0.566973]  r7:c0852400
    [    0.566988] [<c05e14d8>] (rest_init) from [<c07ffd60>] (start_kernel+0x3d8/0x3e4)
    [    0.566993]  r5:c08a0000 r4:c08a0040
    [    0.567009] [<c07ff988>] (start_kernel) from [<8000807c>] (0x8000807c)
    [    0.567015]  r10:00000000 r9:412fc0f2 r8:8000406a r7:c0856ac0 r6:c083ca24 r5:c0852484
    [    0.567036]  r4:c08a0214
    [    0.567052] ---[ end trace f461c0a7ee8465dd ]---

  • Michel,

    Linux is most likely turning the GPIO clock as no one is using the
    GPIO from its view point. I tried to replicate your setup. I turned on
    GPIO2 in u-boot and checked whether the GPIO2 instance is on when we
    reach kernel prompt.

    In, u-boot

    /* Enable GPIO2 by writing to GPIO2_CLK_CTRL */
    __raw_writel(0x1, 0x4A009760);
    /* set one of the gpio2 pins to output */
    __raw_writel(0xFFFFFFFE, 0x48055134);

    I assume you are doing something similar in either IPU1 code or in lateattach.c:ipu1_config_peripherals() before trying to access the GPIO's.


    On kernel prompt,

    root@venkat-evm:~# omapconf read 0x4a009760
    00030000

    You can see the GPIO2 instance is turned off. I could keep the GPIO
    clock on in two ways.

    1. Add below attributes to the GPIO node in device tree. This is only
    necessary if the GPIO2 pins are also being accessed from kernel.

    &gpio2 {
    ti,no-idle;
    ti,no-idle-on-init;
    ti,no-reset-on-init;
    };

    2. Delete the GPIO node from the device tree.

    /delete-node/ &gpio2;

    In both cases, the GPIO2 instance remains on.

    root@venkat-evm:~# omapconf read 0x4a009760
    00020001
    root@venkat-evm:~# omapconf read 0x48055134
    FFFFFFFE

    You can adapt these steps to your hardware configuration.

    regards,
    Venkat
  • Venkat,

    We got the early boot late attach working now, buzzer starts in less than a seconds so that is better than 30 secs which was a problem when you want to wanted to warn someone about to hit you with his boat.

    I do have a big issue with having the firmware in the fat partition. I need to look to get it to load from ext4 from /lib/firmware instead so I would not have to copy it to the FAT partition. It can be problematic for field updates. Any idea on that?

    We use the rpm manager to make updates. If it was on Redhat I could change the spec file to deal with that easily, I am not exactly sure how I would do that with yocto.

    Michel Catudal

    ACTIA Corp