This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

DAC38J82EVM: Matching the JESD204B line rate between the DAC and FPGA

Part Number: DAC38J82EVM
Other Parts Discussed in Thread: DAC38J84, LMK04828

HI,

We are using JESD204B IP core (XILINX).

Ip core : JESD204(7.2)

application : Data transfer from FPGA(virtex - 7 (VC709) ) to DAC(DAC38J84) through JESD204B protocol at line rate of 6.4 Gbps.


configuration done on FPGA side.

     We are using reference clock of 160 MHz.

     so our line rate will be = (reference clock * 40) = 160MHz * 40 = 6.4 Gbps.

     configuration of line rate and reference clock is made from JESD204 PHY configuration tab of JESD204(7.2) IP core.


using the DAC EVM gui for DAC38J84 to configure the JESD204B we have a onboard oscillator 122.88 MHz.

       The the jitter cleaner IC LMK04828 is used generate clock and provide the CLOCK and SYREF to DAC and FPGA.

      using onboard clock we are able to configure using the quik start tab and the line rate we can get closest to 6.4Gbps is 6.144Gbps.

      clock generated for FPGA is 153.6 MHz.


what we need is to match the line rate between FPGA and DAC. Is it possible to generate 160MHz clock from LMK04828 by using
the reference clock of 122.88 MHz?

formula used to find device clock = Vin(refrence clock) * N (Divider) * P (Prescalar) / divider value.

Example = Vin = 122.88 N=10 p=2 divider value = 16

device clock = 122.88 * 10 * 2 / 16
= 2457.6 / 16
= 153.6

Thanks and Regards
Suraj

  • Hi Suraj
    We are looking into your questions.
    One of our DAC experts will provide a more detailed response soon.
    Best regards,
    Jim B
  • Hi Suraj,

    There is an option to use the external clock for the EVM. Section 5.2 of the user's guide talk about how to setup the EVM for external clock mode. Please follow the instruction for External clock mode and you can generate  your required reference frequency. 

    Regards,

    Neeraj 

  • Hi Neeraj,

    Thanks for the quick response

    using the quick start tab

    1. after choosing the clock as external

    2. configuring DAC as

    data input rate as = 320 MSPS
    no of lanes = 4
    interpolation = 4.

    3. we are getting the stats as
    serdes line rate = 6.4 Gbps
    FPGA clock = 160 MHz.
    LMFS= 4421

    what should be my External Clock frequency ?

    how is external clock and DAC data input rate is related?

    what should be the reference external clock signal (sine wave or Square wave ) ?

    Thanks and Regards
    Suraj Gaonkar
  • Suraj,

    The external clock frequency = DAC data rate x Interpolation factor = 320 x 4 = 1.28GHz. The reference clock should be a sine wave.

    Regards,

    Jim