This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

TLV5638: DAC is not functioning

Part Number: TLV5638

I am working on DAC tlv5638.

I am driving the sclk, cs and data from FPGA to DAC. For FPGA output i have checked it on ILA .Then I have connected the outputs from FPGA to DAC inputs. I have checked the DAC pins of sclk, cs and data using DSO it is coming there but DAC output is not coming.

First i have programmed 16 bit “1101000000000010” for internal reference voltage 2.048.

Then i have programmed to write on DAC B all one’s. but getting nothing .

How could i check that internal reference selected or not?

 

  • Richa,

    The digital command you have relayed would indeed enable the internal reference assuming everything else is setup correctly - do you actually see a voltage on the REF pin after the command? It would be nice to use this just to determine if you are successfully communicating in the digital domain.

    If not, I would request a schematic for your setup along with oscilloscope captures of the SPI bus. I understand that you have done some verification of the SPI bus, but we also want to be able to check other timing, like the figures drawn with respect to the CS pin, are also valid which may not be captured yet in this validation.

    If the reference does enable, it could still be helpful to view your schematic and to see the command or commands you are using to update DAC B.

  •    Thanks for reply.

    No .I am getting only 0.61 at ref pin.

    But now i have applied external reference 2.5v .still also DAC output is not coming.

    I am mentioning the steps which i have followed and the attchments:

    1) program the control reg with command 1101000000000000.Then program with the command 1100111111111111 to writing on DACA.

    2) first checked the output at FPGA's pin .I am getting the ouput here cs,sclk and data. for this i have attached ILA output and oscilloscope output.

    3) Then connected the FPGA output to DAC input.and checked at isolator max14850 input.data is at pin 11 I/OB1  with respect to DGND is coming with 2,7 v but opposite side at pin 6 wrt AGND is not coming and voltage is here only 0.1v.

    4) pin number 6 goes to DAC pin number 1.so if isolator output is not coming then DAC also not coming.

    I am not able to finding out the problem whether it is from code side or hardware side.Please help me out

  • Richa,

    Can you share a schematic? At this point I am concerned that the DAC is not completely powered and/or you are not communicating with the device since 0.61V at the REF pin does not really add up if the device is functioning properly. Especially given your recent comments about the behavior of the isolator. I would add that I cannot see the division scale in the oscilloscope captures.

  • Richa,

    Do you have any follow-up on this thread?

  • Dear Sir,

    sorry for delay.I got busy in some other work.

    In isolator there was problem in hardware which was resolved by connecting pull up .after connecting pull up it is coming to the output of isolator and then DAC.

    So now my DAC is working using external supply.

    I didn't check it for internal supply.

    Thanks

  • Richa,

    Thank you for the reply and I am glad it appears you have sorted things out. I will be closing this thread, however you may continue to reply here if anything comes up for the next two weeks before the thread automatically locks. After that, you may ask a related question or create another thread and I or someone else on my team will continue to support you.

    Thanks.