This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[FAQ] How stable is the output pulse length of a monostable multivibrator across temperature?

FAQ: Logic and Voltage TranslationMonostable Multivibrators >> Current FAQ

The application report Designing with the SN74LVC1G123 Monostable Multivibrator has some useful information regarding this.

Essentially, the timing is primarily determined by the external resistor and capacitor on the board. These components usually have very large variation over temperature which will be of much more concern than the variation from the monostable device itself. The above app note shows that, when isolated, the temperature change of the monostable multivibrator device will result in less than 1% variation in the output pulse width. It is relatively common to see a capacitor's value vary 25% or more over temperature, which will directly translate into error in the pulse width for this type of device.