This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

[FAQ] TDA4VM: How to create a LDC mesh LUT for fisheye distortion correction on TDA4?

Part Number: TDA4VM

What is the work flow for creating a LDC mesh LUT for fisheye distortion correction on TDA4?

  • This FAQ is adapted from another E2E discussion about the same topic here.

    This is an example work flow for creating a TDA4 LDC mesh LUT for fisheye distortion correction.

    1. Use the "matlab/octave" code below to generate a mesh LUT text file to be used with DCC tuning tool for TDA4 ISP.

    function [] = gen_lut(spec_file, pitch_in_mm,f_in_mm, W, H, hc, vc,s ,m)
    f = f_in_mm/pitch_in_mm ; 
    [h_p , v_p] = meshgrid( 0:W, 0:H);
    [h_d,v_d] = xyz2distorted(h_p,v_p, f/s, hc, vc,spec_file, pitch_in_mm);
    
    %-------------------------------------------------------------------------------
    % If necessary, clip (h_d, v_d) into your input image boundary or ROI boundary here
    %-------------------------------------------------------------------------------
    
    h_delta = round((h_d-h_p) * 8);
    v_delta = round((v_d-v_p) * 8);
    mh = h_delta(1:2^m:end, 1:2^m:end)';
    mv = v_delta(1:2^m:end, 1:2^m:end)';
    dlmwrite('mesh.txt', [mh(:), mv(:)],  'delimiter', ' ');
    
    function [h_d, v_d] = xyz2distorted(x, y, z, hc, vc, spec_file, pitch_in_mm)
    [phi, r] = cart2pol(x-hc, y-vc);
    theta = atan2(r, z);
    lut = read_spec(spec_file, pitch_in_mm);
    r = interp1(lut(:,1), lut(:,2), theta);
    [h_d, v_d] = pol2cart(phi, r);
    h_d = h_d + hc;
    v_d = v_d + vc;
    
    
    function lut = read_spec(spec_file, pitch_in_mm)
    lut0 = dlmread(spec_file);
    theta = lut0(:,1)/180*pi;
    lut = [theta, lut0(:,2)/pitch_in_mm];

    If you need to change the perspective of your view, you may change "xyz2distorted" as instructed below.

    function [h_d, v_d] = xyz2distorted(x, y, z, hc, vc, spec_file, pitch_in_mm)
    xt = x - hc;
    yt = y - vc;
    zt = z * ones(size(xt));
    
    %----------------------------------------------------------------------------------------------------
    % If necessary, insert your change of camera view point as a transform on 3D points (xt, yt, zt) here
    %----------------------------------------------------------------------------------------------------
    
    [phi, r] = cart2pol(xt, yt);
    theta = atan2(r, zt);
    lut = read_spec(spec_file, pitch_in_mm);
    r = interp1(lut(:,1), lut(:,2), theta);
    [h_d, v_d] = pol2cart(phi, r);
    h_d = h_d + hc;
    v_d = v_d + vc;

    2. Call the above matlab code as below with the given lens distortion spec file in text format.

    You may get the lens spec file and focal length in mm from your lens vendor. Information regarding sensor (pixel pitch in mm and image size) are available in your sensor datasheet.

    "m" is for LUT down-sampling (m=4 below means 16x16 down-sampling).

    "s" decides with size of the output view.

        s = 2;
        m = 4;
        pitch_in_mm = 0.0028;
        f_in_mm = 0.85;
        W = 1280;
        H = 944;
        hc = W/2;
        vc = H/2;
        Wmesh = ceil(W / 2^m) * 2^m;
        Hmesh = ceil(H / 2^m) * 2^m;
        gen_lut("spec_file.txt", pitch_in_mm, f_in_mm, Wmesh, Hmesh, hc, vc, s, m);
    

    Lens distortion spec file (the first column is in degrees and the second in mm):

    4705.spec_file.txt
    0	0
    1	0.01484264
    2	0.02969027
    3	0.04454789
    4	0.05942044
    5	0.07431283
    6	0.08922991
    7	0.10417648
    8	0.11915721
    9	0.13417672
    10	0.14923947
    11	0.16434983
    12	0.179512
    13	0.19473004
    14	0.21000785
    15	0.22534914
    16	0.24075744
    17	0.25623609
    18	0.2717882
    19	0.28741673
    20	0.30312436
    21	0.31891361
    22	0.33478678
    23	0.35074594
    24	0.366793
    25	0.38292965
    26	0.39915742
    27	0.41547767
    28	0.43189163
    29	0.4484004
    30	0.46500498
    31	0.48170629
    32	0.4985052
    33	0.51540257
    34	0.53239923
    35	0.54949606
    36	0.56669399
    37	0.58399403
    38	0.60139728
    39	0.61890497
    40	0.63651849
    41	0.65423936
    42	0.67206928
    43	0.69001016
    44	0.70806407
    45	0.7262333
    46	0.74452032
    47	0.7629278
    48	0.78145862
    49	0.80011582
    50	0.8189026
    51	0.83782233
    52	0.85687848
    53	0.87607467
    54	0.89541455
    55	0.91490184
    56	0.93454026
    57	0.9543335
    58	0.97428515
    59	0.9943987
    60	1.01467742
    61	1.03512436
    62	1.05574223
    63	1.07653335
    64	1.09749959
    65	1.11864221
    66	1.13996187
    67	1.16145842
    68	1.18313088
    69	1.20497727
    70	1.22699447
    71	1.24917817
    72	1.27152265
    73	1.29402069
    74	1.3166634
    75	1.33944009
    76	1.36233815
    77	1.38534288
    78	1.40843739
    79	1.43160246
    80	1.4548165
    81	1.47805542
    82	1.50129263
    83	1.52449902
    84	1.54764298
    85	1.57069051
    86	1.59360531
    87	1.61634901
    88	1.63888135
    89	1.66116052
    90	1.68314347
    91	1.7047863
    92	1.72604473
    93	1.74687449
    94	1.76723187
    95	1.78707414
    96	1.80636008

    3. The output file "mesh.txt" from above call is in the right format as input to TDA4 DCC tuning tool.
    The first column is for horizontal offsets and the second for vertical offsets.

    The number of rows in this file is "ceil(W / 2^m + 1) * ceil(H / 2^m + 1)" because the effective size of the down-sampled 2D mesh LUT is "ceil(W / 2^m + 1)" x "ceil(H / 2^m) + 1)".
    In this case, the file has 4860 rows (81x60).

    2068.mesh.txt
    1818 1341
    1729 1308
    1641 1274
    1555 1240
    1471 1205
    1388 1170
    1308 1134
    1229 1098
    1152 1062
    1077 1025
    1004 987
    933 949
    865 911
    799 873
    735 834
    674 796
    616 757
    560 718
    507 680
    456 641
    409 603
    364 566
    323 529
    284 492
    248 457
    215 422
    185 389
    157 357
    133 327
    111 298
    92 271
    75 246
    60 223
    48 202
    37 184
    29 168
    21 155
    15 145
    9 138
    5 133
    0 132
    -5 133
    -9 138
    -15 145
    -21 155
    -29 168
    -37 184
    -48 202
    -60 223
    -75 246
    -92 271
    -111 298
    -133 327
    -157 357
    -185 389
    -215 422
    -248 457
    -284 492
    -323 529
    -364 566
    -409 603
    -456 641
    -507 680
    -560 718
    -616 757
    -674 796
    -735 834
    -799 873
    -865 911
    -933 949
    -1004 987
    -1077 1025
    -1152 1062
    -1229 1098
    -1308 1134
    -1388 1170
    -1471 1205
    -1555 1240
    -1641 1274
    -1729 1308
    -1818 1341
    1785 1272
    1695 1239
    1607 1206
    1521 1171
    1436 1137
    1353 1102
    1272 1066
    1192 1030
    1115 993
    1039 956
    966 918
    895 880
    827 841
    760 802
    696 763
    635 724
    577 685
    521 646
    468 606
    418 567
    371 528
    327 490
    285 452
    247 415
    212 378
    180 343
    151 308
    126 275
    103 244
    83 214
    65 186
    50 160
    38 136
    28 115
    20 96
    14 79
    9 66
    6 55
    3 47
    2 43
    0 41
    -2 43
    -3 47
    -6 55
    -9 66
    -14 79
    -20 96
    -28 115
    -38 136
    -50 160
    -65 186
    -83 214
    -103 244
    -126 275
    -151 308
    -180 343
    -212 378
    -247 415
    -285 452
    -327 490
    -371 528
    -418 567
    -468 606
    -521 646
    -577 685
    -635 724
    -696 763
    -760 802
    -827 841
    -895 880
    -966 918
    -1039 956
    -1115 993
    -1192 1030
    -1272 1066
    -1353 1102
    -1436 1137
    -1521 1171
    -1607 1206
    -1695 1239
    -1785 1272
    1753 1205
    1662 1172
    1574 1139
    1487 1105
    1401 1070
    1317 1035
    1236 999
    1156 963
    1078 926
    1002 889
    928 851
    857 812
    788 774
    721 734
    657 695
    596 655
    537 615
    481 575
    428 536
    378 496
    332 456
    288 417
    247 378
    210 340
    176 302
    145 266
    117 230
    93 196
    71 164
    53 133
    38 104
    25 77
    15 52
    7 29
    2 10
    -1 -7
    -3 -21
    -4 -33
    -3 -41
    -2 -45
    0 -47
    2 -45
    3 -41
    4 -33
    3 -21
    1 -7
    -2 10
    -7 29
    -15 52
    -25 77
    -38 104
    -53 133
    -71 164
    -93 196
    -117 230
    -145 266
    -176 302
    -210 340
    -247 378
    -288 417
    -332 456
    -378 496
    -428 536
    -481 575
    -537 615
    -596 655
    -657 695
    -721 734
    -788 774
    -857 812
    -928 851
    -1002 889
    -1078 926
    -1156 963
    -1236 999
    -1317 1035
    -1401 1070
    -1487 1105
    -1574 1139
    -1662 1172
    -1753 1205
    1720 1140
    1629 1107
    1540 1074
    1452 1040
    1366 1006
    1282 971
    1199 935
    1119 899
    1040 862
    964 824
    890 786
    818 748
    749 708
    682 669
    617 629
    556 589
    497 549
    441 508
    388 467
    338 427
    292 387
    248 346
    208 307
    172 267
    138 229
    108 191
    82 155
    59 120
    39 86
    22 54
    9 24
    -1 -4
    -9 -30
    -14 -53
    -17 -74
    -17 -91
    -16 -106
    -13 -118
    -10 -126
    -5 -131
    0 -133
    5 -131
    10 -126
    13 -118
    16 -106
    17 -91
    17 -74
    14 -53
    9 -30
    1 -4
    -9 24
    -22 54
    -39 86
    -59 120
    -82 155
    -108 191
    -138 229
    -172 267
    -208 307
    -248 346
    -292 387
    -338 427
    -388 467
    -441 508
    -497 549
    -556 589
    -617 629
    -682 669
    -749 708
    -818 748
    -890 786
    -964 824
    -1040 862
    -1119 899
    -1199 935
    -1282 971
    -1366 1006
    -1452 1040
    -1540 1074
    -1629 1107
    -1720 1140
    1688 1076
    1597 1044
    1507 1011
    1418 977
    1332 943
    1247 908
    1163 873
    1082 836
    1003 799
    926 762
    852 724
    779 685
    709 646
    642 606
    577 566
    515 526
    456 485
    400 444
    347 402
    297 361
    251 320
    208 279
    168 239
    132 198
    100 159
    71 120
    46 83
    24 47
    6 12
    -9 -21
    -21 -53
    -29 -82
    -34 -109
    -36 -133
    -36 -154
    -34 -173
    -30 -188
    -24 -200
    -16 -209
    -8 -214
    0 -216
    8 -214
    16 -209
    24 -200
    30 -188
    34 -173
    36 -154
    36 -133
    34 -109
    29 -82
    21 -53
    9 -21
    -6 12
    -24 47
    -46 83
    -71 120
    -100 159
    -132 198
    -168 239
    -208 279
    -251 320
    -297 361
    -347 402
    -400 444
    -456 485
    -515 526
    -577 566
    -642 606
    -709 646
    -779 685
    -852 724
    -926 762
    -1003 799
    -1082 836
    -1163 873
    -1247 908
    -1332 943
    -1418 977
    -1507 1011
    -1597 1044
    -1688 1076
    1656 1015
    1564 983
    1474 950
    1384 917
    1297 883
    1211 848
    1128 813
    1046 776
    966 740
    888 702
    813 664
    740 625
    670 586
    602 546
    537 506
    474 465
    415 424
    359 382
    306 340
    256 299
    210 257
    167 215
    128 174
    92 133
    60 92
    32 53
    8 14
    -12 -23
    -29 -59
    -42 -94
    -51 -126
    -57 -156
    -60 -184
    -60 -209
    -57 -232
    -51 -251
    -44 -267
    -34 -280
    -24 -289
    -12 -295
    0 -296
    12 -295
    24 -289
    34 -280
    44 -267
    51 -251
    57 -232
    60 -209
    60 -184
    57 -156
    51 -126
    42 -94
    29 -59
    12 -23
    -8 14
    -32 53
    -60 92
    -92 133
    -128 174
    -167 215
    -210 257
    -256 299
    -306 340
    -359 382
    -415 424
    -474 465
    -537 506
    -602 546
    -670 586
    -740 625
    -813 664
    -888 702
    -966 740
    -1046 776
    -1128 813
    -1211 848
    -1297 883
    -1384 917
    -1474 950
    -1564 983
    -1656 1015
    1625 955
    1532 923
    1441 891
    1351 858
    1263 824
    1176 790
    1092 755
    1009 719
    929 682
    851 645
    775 607
    701 568
    630 529
    562 489
    496 448
    433 407
    373 366
    317 324
    264 282
    214 239
    167 197
    125 154
    86 112
    51 70
    20 29
    -7 -12
    -31 -51
    -50 -90
    -65 -127
    -76 -162
    -83 -196
    -87 -227
    -87 -256
    -84 -283
    -78 -306
    -69 -326
    -58 -343
    -45 -356
    -31 -366
    -16 -371
    0 -373
    16 -371
    31 -366
    45 -356
    58 -343
    69 -326
    78 -306
    84 -283
    87 -256
    87 -227
    83 -196
    76 -162
    65 -127
    50 -90
    31 -51
    7 -12
    -20 29
    -51 70
    -86 112
    -125 154
    -167 197
    -214 239
    -264 282
    -317 324
    -373 366
    -433 407
    -496 448
    -562 489
    -630 529
    -701 568
    -775 607
    -851 645
    -929 682
    -1009 719
    -1092 755
    -1176 790
    -1263 824
    -1351 858
    -1441 891
    -1532 923
    -1625 955
    1594 897
    1501 866
    1408 834
    1318 801
    1229 768
    1142 734
    1057 699
    973 664
    892 627
    813 590
    736 552
    662 514
    590 474
    521 434
    455 394
    392 353
    332 311
    275 269
    221 226
    171 183
    125 140
    82 97
    43 54
    9 12
    -22 -31
    -48 -72
    -70 -113
    -88 -152
    -102 -191
    -111 -227
    -116 -262
    -118 -295
    -115 -325
    -110 -352
    -100 -376
    -88 -397
    -74 -415
    -57 -429
    -39 -439
    -20 -445
    0 -447
    20 -445
    39 -439
    57 -429
    74 -415
    88 -397
    100 -376
    110 -352
    115 -325
    118 -295
    116 -262
    111 -227
    102 -191
    88 -152
    70 -113
    48 -72
    22 -31
    -9 12
    -43 54
    -82 97
    -125 140
    -171 183
    -221 226
    -275 269
    -332 311
    -392 353
    -455 394
    -521 434
    -590 474
    -662 514
    -736 552
    -813 590
    -892 627
    -973 664
    -1057 699
    -1142 734
    -1229 768
    -1318 801
    -1408 834
    -1501 866
    -1594 897
    1564 841
    1469 810
    1376 779
    1285 747
    1196 714
    1108 680
    1022 646
    938 611
    856 575
    776 538
    698 500
    623 462
    551 423
    481 383
    414 342
    350 301
    290 259
    232 217
    178 174
    128 131
    81 88
    39 44
    0 0
    -34 -43
    -64 -86
    -90 -129
    -111 -170
    -128 -211
    -140 -250
    -147 -288
    -151 -324
    -150 -358
    -145 -389
    -136 -417
    -124 -443
    -108 -465
    -90 -483
    -69 -498
    -47 -508
    -24 -515
    0 -517
    24 -515
    47 -508
    69 -498
    90 -483
    108 -465
    124 -443
    136 -417
    145 -389
    150 -358
    151 -324
    147 -288
    140 -250
    128 -211
    111 -170
    90 -129
    64 -86
    34 -43
    -0 0
    -39 44
    -81 88
    -128 131
    -178 174
    -232 217
    -290 259
    -350 301
    -414 342
    -481 383
    -551 423
    -623 462
    -698 500
    -776 538
    -856 575
    -938 611
    -1022 646
    -1108 680
    -1196 714
    -1285 747
    -1376 779
    -1469 810
    -1564 841
    1534 786
    1439 756
    1345 726
    1253 694
    1163 662
    1074 629
    987 595
    902 560
    819 525
    739 489
    660 451
    585 413
    511 374
    441 335
    373 294
    309 253
    247 211
    189 169
    135 126
    84 82
    38 39
    -5 -6
    -44 -50
    -78 -94
    -107 -138
    -132 -181
    -153 -224
    -168 -265
    -179 -306
    -185 -345
    -186 -382
    -183 -417
    -175 -449
    -163 -479
    -148 -505
    -129 -528
    -107 -547
    -82 -562
    -56 -573
    -28 -580
    0 -582
    28 -580
    56 -573
    82 -562
    107 -547
    129 -528
    148 -505
    163 -479
    175 -449
    183 -417
    186 -382
    185 -345
    179 -306
    168 -265
    153 -224
    132 -181
    107 -138
    78 -94
    44 -50
    5 -6
    -38 39
    -84 82
    -135 126
    -189 169
    -247 211
    -309 253
    -373 294
    -441 335
    -511 374
    -585 413
    -660 451
    -739 489
    -819 525
    -902 560
    -987 595
    -1074 629
    -1163 662
    -1253 694
    -1345 726
    -1439 756
    -1534 786
    1505 734
    1409 704
    1314 675
    1222 644
    1130 612
    1041 580
    953 547
    867 513
    784 478
    702 442
    623 405
    546 367
    472 329
    401 290
    333 249
    267 208
    205 167
    147 124
    92 81
    41 38
    -6 -6
    -49 -51
    -88 -95
    -122 -140
    -151 -184
    -176 -229
    -195 -272
    -210 -315
    -219 -356
    -224 -396
    -223 -435
    -217 -471
    -207 -504
    -192 -535
    -173 -562
    -150 -586
    -124 -606
    -96 -622
    -65 -634
    -33 -641
    0 -643
    33 -641
    65 -634
    96 -622
    124 -606
    150 -586
    173 -562
    192 -535
    207 -504
    217 -471
    223 -435
    224 -396
    219 -356
    210 -315
    195 -272
    176 -229
    151 -184
    122 -140
    88 -95
    49 -51
    6 -6
    -41 38
    -92 81
    -147 124
    -205 167
    -267 208
    -333 249
    -401 290
    -472 329
    -546 367
    -623 405
    -702 442
    -784 478
    -867 513
    -953 547
    -1041 580
    -1130 612
    -1222 644
    -1314 675
    -1409 704
    -1505 734
    1476 683
    1380 655
    1285 625
    1191 595
    1099 565
    1008 533
    920 500
    833 467
    749 433
    666 398
    586 361
    509 324
    434 286
    361 248
    292 208
    226 167
    163 126
    104 84
    48 41
    -3 -3
    -51 -47
    -94 -92
    -133 -137
    -167 -182
    -196 -227
    -220 -271
    -239 -316
    -252 -359
    -261 -402
    -263 -443
    -261 -482
    -253 -519
    -240 -554
    -222 -586
    -199 -615
    -173 -640
    -143 -661
    -110 -677
    -75 -689
    -38 -697
    0 -699
    38 -697
    75 -689
    110 -677
    143 -661
    173 -640
    199 -615
    222 -586
    240 -554
    253 -519
    261 -482
    263 -443
    261 -402
    252 -359
    239 -316
    220 -271
    196 -227
    167 -182
    133 -137
    94 -92
    51 -47
    3 -3
    -48 41
    -104 84
    -163 126
    -226 167
    -292 208
    -361 248
    -434 286
    -509 324
    -586 361
    -666 398
    -749 433
    -833 467
    -920 500
    -1008 533
    -1099 565
    -1191 595
    -1285 625
    -1380 655
    -1476 683
    1449 634
    1351 606
    1255 578
    1161 549
    1068 519
    977 488
    887 457
    800 424
    714 391
    631 356
    550 321
    471 284
    396 247
    322 209
    252 170
    185 130
    122 89
    62 47
    5 4
    -47 -39
    -95 -83
    -139 -128
    -178 -173
    -212 -218
    -241 -264
    -265 -309
    -283 -354
    -296 -398
    -303 -442
    -304 -484
    -300 -524
    -289 -563
    -274 -599
    -253 -632
    -227 -661
    -196 -687
    -162 -709
    -125 -726
    -84 -739
    -43 -747
    0 -749
    43 -747
    84 -739
    125 -726
    162 -709
    196 -687
    227 -661
    253 -632
    274 -599
    289 -563
    300 -524
    304 -484
    303 -442
    296 -398
    283 -354
    265 -309
    241 -264
    212 -218
    178 -173
    139 -128
    95 -83
    47 -39
    -5 4
    -62 47
    -122 89
    -185 130
    -252 170
    -322 209
    -396 247
    -471 284
    -550 321
    -631 356
    -714 391
    -800 424
    -887 457
    -977 488
    -1068 519
    -1161 549
    -1255 578
    -1351 606
    -1449 634
    1422 587
    1324 560
    1227 533
    1132 505
    1038 476
    946 446
    856 415
    767 384
    681 351
    596 317
    515 283
    435 248
    358 211
    284 174
    213 135
    145 96
    81 55
    20 14
    -38 -28
    -91 -71
    -140 -115
    -184 -160
    -223 -205
    -258 -250
    -287 -296
    -310 -341
    -328 -387
    -340 -432
    -346 -476
    -346 -519
    -340 -560
    -327 -600
    -309 -637
    -285 -671
    -255 -702
    -221 -728
    -182 -751
    -140 -769
    -95 -782
    -48 -790
    0 -793
    48 -790
    95 -782
    140 -769
    182 -751
    221 -728
    255 -702
    285 -671
    309 -637
    327 -600
    340 -560
    346 -519
    346 -476
    340 -432
    328 -387
    310 -341
    287 -296
    258 -250
    223 -205
    184 -160
    140 -115
    91 -71
    38 -28
    -20 14
    -81 55
    -145 96
    -213 135
    -284 174
    -358 211
    -435 248
    -515 283
    -596 317
    -681 351
    -767 384
    -856 415
    -946 446
    -1038 476
    -1132 505
    -1227 533
    -1324 560
    -1422 587
    1397 541
    1298 516
    1200 489
    1104 462
    1009 434
    916 406
    825 376
    736 345
    648 314
    563 281
    480 248
    400 214
    322 178
    247 142
    174 104
    106 65
    40 26
    -22 -15
    -80 -56
    -134 -99
    -184 -142
    -228 -186
    -268 -231
    -303 -276
    -332 -322
    -356 -368
    -374 -414
    -385 -459
    -390 -504
    -389 -548
    -381 -590
    -366 -630
    -345 -668
    -318 -703
    -285 -735
    -246 -763
    -203 -787
    -156 -805
    -106 -819
    -53 -827
    0 -830
    53 -827
    106 -819
    156 -805
    203 -787
    246 -763
    285 -735
    318 -703
    345 -668
    366 -630
    381 -590
    389 -548
    390 -504
    385 -459
    374 -414
    356 -368
    332 -322
    303 -276
    268 -231
    228 -186
    184 -142
    134 -99
    80 -56
    22 -15
    -40 26
    -106 65
    -174 104
    -247 142
    -322 178
    -400 214
    -480 248
    -563 281
    -648 314
    -736 345
    -825 376
    -916 406
    -1009 434
    -1104 462
    -1200 489
    -1298 516
    -1397 541
    1372 497
    1272 473
    1174 448
    1077 422
    981 395
    888 368
    795 339
    705 310
    617 279
    531 248
    447 216
    365 183
    286 148
    210 113
    137 76
    67 39
    0 0
    -63 -39
    -122 -80
    -177 -122
    -227 -165
    -273 -208
    -313 -252
    -349 -297
    -378 -343
    -402 -389
    -419 -434
    -430 -480
    -435 -525
    -432 -569
    -422 -612
    -406 -653
    -382 -692
    -352 -728
    -315 -761
    -272 -790
    -225 -814
    -173 -834
    -117 -848
    -59 -857
    0 -860
    59 -857
    117 -848
    173 -834
    225 -814
    272 -790
    315 -761
    352 -728
    382 -692
    406 -653
    422 -612
    432 -569
    435 -525
    430 -480
    419 -434
    402 -389
    378 -343
    349 -297
    313 -252
    273 -208
    227 -165
    177 -122
    122 -80
    63 -39
    -0 0
    -67 39
    -137 76
    -210 113
    -286 148
    -365 183
    -447 216
    -531 248
    -617 279
    -705 310
    -795 339
    -888 368
    -981 395
    -1077 422
    -1174 448
    -1272 473
    -1372 497
    1349 455
    1248 432
    1149 408
    1051 384
    955 358
    860 332
    767 305
    676 276
    587 247
    499 217
    415 187
    332 155
    252 122
    175 87
    101 52
    29 16
    -38 -21
    -102 -60
    -162 -100
    -218 -140
    -270 -182
    -316 -225
    -358 -268
    -394 -313
    -424 -358
    -448 -403
    -465 -449
    -476 -494
    -479 -539
    -476 -584
    -465 -627
    -446 -669
    -420 -709
    -386 -745
    -346 -779
    -299 -809
    -247 -834
    -190 -854
    -129 -869
    -65 -878
    0 -881
    65 -878
    129 -869
    190 -854
    247 -834
    299 -809
    346 -779
    386 -745
    420 -709
    446 -669
    465 -627
    476 -584
    479 -539
    476 -494
    465 -449
    448 -403
    424 -358
    394 -313
    358 -268
    316 -225
    270 -182
    218 -140
    162 -100
    102 -60
    38 -21
    -29 16
    -101 52
    -175 87
    -252 122
    -332 155
    -415 187
    -499 217
    -587 247
    -676 276
    -767 305
    -860 332
    -955 358
    -1051 384
    -1149 408
    -1248 432
    -1349 455
    1327 415
    1225 393
    1125 370
    1027 347
    930 323
    834 298
    740 272
    648 245
    558 218
    470 189
    384 160
    300 129
    219 98
    141 65
    65 31
    -7 -3
    -76 -39
    -141 -76
    -202 -115
    -259 -154
    -311 -195
    -359 -236
    -401 -279
    -438 -322
    -469 -366
    -493 -411
    -511 -456
    -521 -501
    -524 -546
    -520 -591
    -507 -634
    -487 -676
    -458 -716
    -422 -754
    -378 -788
    -327 -818
    -270 -844
    -208 -865
    -141 -880
    -71 -889
    0 -892
    71 -889
    141 -880
    208 -865
    270 -844
    327 -818
    378 -788
    422 -754
    458 -716
    487 -676
    507 -634
    520 -591
    524 -546
    521 -501
    511 -456
    493 -411
    469 -366
    438 -322
    401 -279
    359 -236
    311 -195
    259 -154
    202 -115
    141 -76
    76 -39
    7 -3
    -65 31
    -141 65
    -219 98
    -300 129
    -384 160
    -470 189
    -558 218
    -648 245
    -740 272
    -834 298
    -930 323
    -1027 347
    -1125 370
    -1225 393
    -1327 415
    1306 375
    1204 355
    1103 334
    1004 312
    906 289
    809 266
    715 242
    622 217
    531 191
    442 164
    355 136
    270 107
    188 77
    109 46
    32 14
    -41 -19
    -111 -53
    -178 -89
    -240 -125
    -298 -163
    -352 -202
    -400 -242
    -443 -283
    -481 -325
    -513 -368
    -538 -412
    -556 -456
    -566 -501
    -569 -545
    -564 -589
    -550 -633
    -528 -675
    -497 -715
    -458 -753
    -411 -787
    -356 -818
    -294 -844
    -226 -865
    -153 -881
    -77 -890
    0 -894
    77 -890
    153 -881
    226 -865
    294 -844
    356 -818
    411 -787
    458 -753
    497 -715
    528 -675
    550 -633
    564 -589
    569 -545
    566 -501
    556 -456
    538 -412
    513 -368
    481 -325
    443 -283
    400 -242
    352 -202
    298 -163
    240 -125
    178 -89
    111 -53
    41 -19
    -32 14
    -109 46
    -188 77
    -270 107
    -355 136
    -442 164
    -531 191
    -622 217
    -715 242
    -809 266
    -906 289
    -1004 312
    -1103 334
    -1204 355
    -1306 375
    1286 338
    1184 319
    1082 299
    982 279
    884 258
    786 236
    691 213
    597 190
    505 166
    415 141
    327 114
    242 87
    158 59
    78 30
    0 0
    -74 -31
    -145 -64
    -213 -97
    -276 -132
    -335 -168
    -390 -205
    -440 -243
    -484 -282
    -523 -323
    -555 -364
    -581 -407
    -599 -450
    -610 -493
    -613 -536
    -607 -580
    -593 -623
    -569 -664
    -536 -704
    -494 -742
    -444 -776
    -384 -807
    -318 -834
    -244 -855
    -166 -871
    -84 -880
    0 -884
    84 -880
    166 -871
    244 -855
    318 -834
    384 -807
    444 -776
    494 -742
    536 -704
    569 -664
    593 -623
    607 -580
    613 -536
    610 -493
    599 -450
    581 -407
    555 -364
    523 -323
    484 -282
    440 -243
    390 -205
    335 -168
    276 -132
    213 -97
    145 -64
    74 -31
    -0 0
    -78 30
    -158 59
    -242 87
    -327 114
    -415 141
    -505 166
    -597 190
    -691 213
    -786 236
    -884 258
    -982 279
    -1082 299
    -1184 319
    -1286 338
    1269 301
    1165 284
    1063 266
    962 247
    863 228
    765 208
    669 187
    574 165
    481 143
    390 120
    302 95
    215 70
    131 44
    49 17
    -29 -11
    -105 -40
    -177 -70
    -246 -101
    -310 -134
    -371 -168
    -427 -203
    -478 -239
    -523 -276
    -563 -314
    -596 -354
    -623 -394
    -642 -435
    -653 -477
    -656 -519
    -650 -561
    -635 -603
    -610 -644
    -575 -683
    -531 -720
    -476 -754
    -413 -785
    -342 -811
    -263 -833
    -179 -848
    -90 -858
    0 -861
    90 -858
    179 -848
    263 -833
    342 -811
    413 -785
    476 -754
    531 -720
    575 -683
    610 -644
    635 -603
    650 -561
    656 -519
    653 -477
    642 -435
    623 -394
    596 -354
    563 -314
    523 -276
    478 -239
    427 -203
    371 -168
    310 -134
    246 -101
    177 -70
    105 -40
    29 -11
    -49 17
    -131 44
    -215 70
    -302 95
    -390 120
    -481 143
    -574 165
    -669 187
    -765 208
    -863 228
    -962 247
    -1063 266
    -1165 284
    -1269 301
    1252 266
    1148 250
    1046 234
    944 217
    844 199
    746 181
    649 162
    553 142
    459 122
    368 101
    278 79
    190 56
    105 32
    23 7
    -57 -19
    -133 -45
    -207 -73
    -276 -102
    -342 -132
    -404 -164
    -461 -196
    -513 -230
    -560 -264
    -601 -300
    -635 -337
    -662 -375
    -682 -414
    -694 -454
    -697 -494
    -691 -534
    -675 -574
    -649 -613
    -613 -651
    -566 -687
    -509 -721
    -441 -751
    -365 -776
    -281 -797
    -191 -813
    -97 -822
    0 -825
    97 -822
    191 -813
    281 -797
    365 -776
    441 -751
    509 -721
    566 -687
    613 -651
    649 -613
    675 -574
    691 -534
    697 -494
    694 -454
    682 -414
    662 -375
    635 -337
    601 -300
    560 -264
    513 -230
    461 -196
    404 -164
    342 -132
    276 -102
    207 -73
    133 -45
    57 -19
    -23 7
    -105 32
    -190 56
    -278 79
    -368 101
    -459 122
    -553 142
    -649 162
    -746 181
    -844 199
    -944 217
    -1046 234
    -1148 250
    -1252 266
    1238 232
    1133 218
    1030 203
    928 188
    827 172
    728 156
    630 139
    534 121
    440 103
    347 84
    257 64
    168 44
    82 22
    -1 -0
    -82 -24
    -159 -48
    -234 -73
    -305 -99
    -372 -127
    -434 -155
    -493 -185
    -546 -215
    -594 -247
    -636 -280
    -671 -315
    -699 -350
    -720 -386
    -733 -423
    -736 -460
    -730 -498
    -714 -535
    -687 -572
    -649 -609
    -600 -643
    -540 -675
    -469 -703
    -388 -728
    -299 -748
    -203 -763
    -103 -772
    0 -775
    103 -772
    203 -763
    299 -748
    388 -728
    469 -703
    540 -675
    600 -643
    649 -609
    687 -572
    714 -535
    730 -498
    736 -460
    733 -423
    720 -386
    699 -350
    671 -315
    636 -280
    594 -247
    546 -215
    493 -185
    434 -155
    372 -127
    305 -99
    234 -73
    159 -48
    82 -24
    1 -0
    -82 22
    -168 44
    -257 64
    -347 84
    -440 103
    -534 121
    -630 139
    -728 156
    -827 172
    -928 188
    -1030 203
    -1133 218
    -1238 232
    1225 199
    1120 187
    1016 174
    913 160
    812 147
    712 132
    614 117
    517 102
    422 86
    329 69
    238 51
    148 33
    62 14
    -23 -5
    -104 -26
    -183 -48
    -258 -70
    -330 -93
    -398 -118
    -462 -143
    -521 -169
    -576 -197
    -625 -226
    -668 -255
    -704 -286
    -733 -318
    -755 -351
    -768 -384
    -772 -418
    -766 -453
    -750 -487
    -722 -522
    -683 -555
    -632 -587
    -569 -617
    -495 -643
    -410 -666
    -316 -685
    -215 -698
    -109 -707
    0 -710
    109 -707
    215 -698
    316 -685
    410 -666
    495 -643
    569 -617
    632 -587
    683 -555
    722 -522
    750 -487
    766 -453
    772 -418
    768 -384
    755 -351
    733 -318
    704 -286
    668 -255
    625 -226
    576 -197
    521 -169
    462 -143
    398 -118
    330 -93
    258 -70
    183 -48
    104 -26
    23 -5
    -62 14
    -148 33
    -238 51
    -329 69
    -422 86
    -517 102
    -614 117
    -712 132
    -812 147
    -913 160
    -1016 174
    -1120 187
    -1225 199
    1213 167
    1108 156
    1004 145
    901 134
    799 122
    699 110
    600 97
    502 84
    407 70
    313 56
    221 41
    131 25
    44 9
    -41 -8
    -124 -26
    -203 -45
    -279 -64
    -352 -84
    -421 -105
    -486 -127
    -547 -150
    -602 -174
    -652 -199
    -696 -225
    -733 -252
    -764 -280
    -786 -309
    -800 -339
    -805 -369
    -799 -400
    -783 -430
    -755 -461
    -714 -491
    -661 -520
    -596 -546
    -519 -570
    -430 -591
    -331 -608
    -225 -620
    -114 -627
    0 -630
    114 -627
    225 -620
    331 -608
    430 -591
    519 -570
    596 -546
    661 -520
    714 -491
    755 -461
    783 -430
    799 -400
    805 -369
    800 -339
    786 -309
    764 -280
    733 -252
    696 -225
    652 -199
    602 -174
    547 -150
    486 -127
    421 -105
    352 -84
    279 -64
    203 -45
    124 -26
    41 -8
    -44 9
    -131 25
    -221 41
    -313 56
    -407 70
    -502 84
    -600 97
    -699 110
    -799 122
    -901 134
    -1004 145
    -1108 156
    -1213 167
    1204 135
    1098 127
    994 118
    890 108
    788 99
    687 88
    588 78
    490 67
    394 55
    299 43
    207 31
    117 18
    28 5
    -57 -10
    -140 -24
    -221 -40
    -298 -56
    -371 -73
    -441 -90
    -507 -109
    -568 -128
    -624 -148
    -675 -169
    -720 -191
    -759 -213
    -790 -237
    -813 -261
    -828 -287
    -833 -312
    -828 -339
    -811 -365
    -783 -391
    -742 -417
    -687 -442
    -620 -465
    -539 -485
    -447 -503
    -345 -517
    -234 -527
    -119 -534
    0 -536
    119 -534
    234 -527
    345 -517
    447 -503
    539 -485
    620 -465
    687 -442
    742 -417
    783 -391
    811 -365
    828 -339
    833 -312
    828 -287
    813 -261
    790 -237
    759 -213
    720 -191
    675 -169
    624 -148
    568 -128
    507 -109
    441 -90
    371 -73
    298 -56
    221 -40
    140 -24
    57 -10
    -28 5
    -117 18
    -207 31
    -299 43
    -394 55
    -490 67
    -588 78
    -687 88
    -788 99
    -890 108
    -994 118
    -1098 127
    -1204 135
    1196 105
    1090 98
    985 91
    882 83
    779 76
    678 68
    578 60
    480 51
    383 42
    289 33
    196 23
    105 13
    16 2
    -70 -9
    -154 -21
    -235 -33
    -312 -46
    -387 -59
    -457 -73
    -524 -87
    -586 -102
    -643 -118
    -695 -135
    -740 -152
    -780 -171
    -812 -189
    -836 -209
    -851 -229
    -857 -250
    -852 -271
    -835 -292
    -807 -314
    -765 -335
    -709 -355
    -640 -373
    -557 -390
    -461 -404
    -356 -415
    -242 -423
    -122 -428
    0 -429
    122 -428
    242 -423
    356 -415
    461 -404
    557 -390
    640 -373
    709 -355
    765 -335
    807 -314
    835 -292
    852 -271
    857 -250
    851 -229
    836 -209
    812 -189
    780 -171
    740 -152
    695 -135
    643 -118
    586 -102
    524 -87
    457 -73
    387 -59
    312 -46
    235 -33
    154 -21
    70 -9
    -16 2
    -105 13
    -196 23
    -289 33
    -383 42
    -480 51
    -578 60
    -678 68
    -779 76
    -882 83
    -985 91
    -1090 98
    -1196 105
    1190 74
    1084 70
    979 64
    875 59
    773 54
    671 48
    571 42
    473 36
    376 29
    280 23
    187 16
    96 8
    7 1
    -80 -7
    -164 -16
    -245 -25
    -324 -34
    -398 -43
    -469 -53
    -537 -64
    -599 -75
    -657 -86
    -709 -99
    -756 -111
    -796 -124
    -828 -138
    -853 -152
    -869 -167
    -875 -182
    -870 -198
    -854 -213
    -825 -229
    -783 -245
    -726 -259
    -655 -273
    -570 -285
    -472 -295
    -364 -303
    -247 -309
    -125 -312
    0 -313
    125 -312
    247 -309
    364 -303
    472 -295
    570 -285
    655 -273
    726 -259
    783 -245
    825 -229
    854 -213
    870 -198
    875 -182
    869 -167
    853 -152
    828 -138
    796 -124
    756 -111
    709 -99
    657 -86
    599 -75
    537 -64
    469 -53
    398 -43
    324 -34
    245 -25
    164 -16
    80 -7
    -7 1
    -96 8
    -187 16
    -280 23
    -376 29
    -473 36
    -571 42
    -671 48
    -773 54
    -875 59
    -979 64
    -1084 70
    -1190 74
    1187 44
    1080 42
    975 38
    871 35
    768 32
    666 29
    566 25
    467 21
    370 17
    275 13
    181 9
    90 5
    0 0
    -87 -5
    -171 -10
    -253 -15
    -331 -21
    -406 -26
    -478 -33
    -545 -39
    -608 -46
    -666 -53
    -719 -60
    -766 -68
    -806 -76
    -840 -84
    -865 -93
    -881 -102
    -887 -111
    -883 -120
    -867 -130
    -838 -140
    -795 -149
    -737 -158
    -665 -166
    -579 -174
    -480 -180
    -369 -185
    -251 -188
    -127 -190
    0 -191
    127 -190
    251 -188
    369 -185
    480 -180
    579 -174
    665 -166
    737 -158
    795 -149
    838 -140
    867 -130
    883 -120
    887 -111
    881 -102
    865 -93
    840 -84
    806 -76
    766 -68
    719 -60
    666 -53
    608 -46
    545 -39
    478 -33
    406 -26
    331 -21
    253 -15
    171 -10
    87 -5
    -0 0
    -90 5
    -181 9
    -275 13
    -370 17
    -467 21
    -566 25
    -666 29
    -768 32
    -871 35
    -975 38
    -1080 42
    -1187 44
    1185 15
    1078 14
    973 13
    869 12
    766 11
    664 9
    564 8
    465 7
    368 6
    272 4
    178 3
    87 1
    -3 -0
    -90 -2
    -174 -3
    -256 -5
    -335 -7
    -410 -9
    -482 -11
    -550 -13
    -613 -15
    -671 -18
    -724 -20
    -771 -23
    -812 -25
    -845 -28
    -871 -31
    -887 -34
    -894 -37
    -889 -40
    -873 -44
    -844 -47
    -801 -50
    -743 -53
    -671 -56
    -584 -58
    -483 -60
    -372 -62
    -253 -63
    -128 -64
    0 -64
    128 -64
    253 -63
    372 -62
    483 -60
    584 -58
    671 -56
    743 -53
    801 -50
    844 -47
    873 -44
    889 -40
    894 -37
    887 -34
    871 -31
    845 -28
    812 -25
    771 -23
    724 -20
    671 -18
    613 -15
    550 -13
    482 -11
    410 -9
    335 -7
    256 -5
    174 -3
    90 -2
    3 -0
    -87 1
    -178 3
    -272 4
    -368 6
    -465 7
    -564 8
    -664 9
    -766 11
    -869 12
    -973 13
    -1078 14
    -1185 15
    1185 -15
    1078 -14
    973 -13
    869 -12
    766 -11
    664 -9
    564 -8
    465 -7
    368 -6
    272 -4
    178 -3
    87 -1
    -3 0
    -90 2
    -174 3
    -256 5
    -335 7
    -410 9
    -482 11
    -550 13
    -613 15
    -671 18
    -724 20
    -771 23
    -812 25
    -845 28
    -871 31
    -887 34
    -894 37
    -889 40
    -873 44
    -844 47
    -801 50
    -743 53
    -671 56
    -584 58
    -483 60
    -372 62
    -253 63
    -128 64
    0 64
    128 64
    253 63
    372 62
    483 60
    584 58
    671 56
    743 53
    801 50
    844 47
    873 44
    889 40
    894 37
    887 34
    871 31
    845 28
    812 25
    771 23
    724 20
    671 18
    613 15
    550 13
    482 11
    410 9
    335 7
    256 5
    174 3
    90 2
    3 0
    -87 -1
    -178 -3
    -272 -4
    -368 -6
    -465 -7
    -564 -8
    -664 -9
    -766 -11
    -869 -12
    -973 -13
    -1078 -14
    -1185 -15
    1187 -44
    1080 -42
    975 -38
    871 -35
    768 -32
    666 -29
    566 -25
    467 -21
    370 -17
    275 -13
    181 -9
    90 -5
    0 -0
    -87 5
    -171 10
    -253 15
    -331 21
    -406 26
    -478 33
    -545 39
    -608 46
    -666 53
    -719 60
    -766 68
    -806 76
    -840 84
    -865 93
    -881 102
    -887 111
    -883 120
    -867 130
    -838 140
    -795 149
    -737 158
    -665 166
    -579 174
    -480 180
    -369 185
    -251 188
    -127 190
    0 191
    127 190
    251 188
    369 185
    480 180
    579 174
    665 166
    737 158
    795 149
    838 140
    867 130
    883 120
    887 111
    881 102
    865 93
    840 84
    806 76
    766 68
    719 60
    666 53
    608 46
    545 39
    478 33
    406 26
    331 21
    253 15
    171 10
    87 5
    -0 -0
    -90 -5
    -181 -9
    -275 -13
    -370 -17
    -467 -21
    -566 -25
    -666 -29
    -768 -32
    -871 -35
    -975 -38
    -1080 -42
    -1187 -44
    1190 -74
    1084 -70
    979 -64
    875 -59
    773 -54
    671 -48
    571 -42
    473 -36
    376 -29
    280 -23
    187 -16
    96 -8
    7 -1
    -80 7
    -164 16
    -245 25
    -324 34
    -398 43
    -469 53
    -537 64
    -599 75
    -657 86
    -709 99
    -756 111
    -796 124
    -828 138
    -853 152
    -869 167
    -875 182
    -870 198
    -854 213
    -825 229
    -783 245
    -726 259
    -655 273
    -570 285
    -472 295
    -364 303
    -247 309
    -125 312
    0 313
    125 312
    247 309
    364 303
    472 295
    570 285
    655 273
    726 259
    783 245
    825 229
    854 213
    870 198
    875 182
    869 167
    853 152
    828 138
    796 124
    756 111
    709 99
    657 86
    599 75
    537 64
    469 53
    398 43
    324 34
    245 25
    164 16
    80 7
    -7 -1
    -96 -8
    -187 -16
    -280 -23
    -376 -29
    -473 -36
    -571 -42
    -671 -48
    -773 -54
    -875 -59
    -979 -64
    -1084 -70
    -1190 -74
    1196 -105
    1090 -98
    985 -91
    882 -83
    779 -76
    678 -68
    578 -60
    480 -51
    383 -42
    289 -33
    196 -23
    105 -13
    16 -2
    -70 9
    -154 21
    -235 33
    -312 46
    -387 59
    -457 73
    -524 87
    -586 102
    -643 118
    -695 135
    -740 152
    -780 171
    -812 189
    -836 209
    -851 229
    -857 250
    -852 271
    -835 292
    -807 314
    -765 335
    -709 355
    -640 373
    -557 390
    -461 404
    -356 415
    -242 423
    -122 428
    0 429
    122 428
    242 423
    356 415
    461 404
    557 390
    640 373
    709 355
    765 335
    807 314
    835 292
    852 271
    857 250
    851 229
    836 209
    812 189
    780 171
    740 152
    695 135
    643 118
    586 102
    524 87
    457 73
    387 59
    312 46
    235 33
    154 21
    70 9
    -16 -2
    -105 -13
    -196 -23
    -289 -33
    -383 -42
    -480 -51
    -578 -60
    -678 -68
    -779 -76
    -882 -83
    -985 -91
    -1090 -98
    -1196 -105
    1204 -135
    1098 -127
    994 -118
    890 -108
    788 -99
    687 -88
    588 -78
    490 -67
    394 -55
    299 -43
    207 -31
    117 -18
    28 -5
    -57 10
    -140 24
    -221 40
    -298 56
    -371 73
    -441 90
    -507 109
    -568 128
    -624 148
    -675 169
    -720 191
    -759 213
    -790 237
    -813 261
    -828 287
    -833 312
    -828 339
    -811 365
    -783 391
    -742 417
    -687 442
    -620 465
    -539 485
    -447 503
    -345 517
    -234 527
    -119 534
    0 536
    119 534
    234 527
    345 517
    447 503
    539 485
    620 465
    687 442
    742 417
    783 391
    811 365
    828 339
    833 312
    828 287
    813 261
    790 237
    759 213
    720 191
    675 169
    624 148
    568 128
    507 109
    441 90
    371 73
    298 56
    221 40
    140 24
    57 10
    -28 -5
    -117 -18
    -207 -31
    -299 -43
    -394 -55
    -490 -67
    -588 -78
    -687 -88
    -788 -99
    -890 -108
    -994 -118
    -1098 -127
    -1204 -135
    1213 -167
    1108 -156
    1004 -145
    901 -134
    799 -122
    699 -110
    600 -97
    502 -84
    407 -70
    313 -56
    221 -41
    131 -25
    44 -9
    -41 8
    -124 26
    -203 45
    -279 64
    -352 84
    -421 105
    -486 127
    -547 150
    -602 174
    -652 199
    -696 225
    -733 252
    -764 280
    -786 309
    -800 339
    -805 369
    -799 400
    -783 430
    -755 461
    -714 491
    -661 520
    -596 546
    -519 570
    -430 591
    -331 608
    -225 620
    -114 627
    0 630
    114 627
    225 620
    331 608
    430 591
    519 570
    596 546
    661 520
    714 491
    755 461
    783 430
    799 400
    805 369
    800 339
    786 309
    764 280
    733 252
    696 225
    652 199
    602 174
    547 150
    486 127
    421 105
    352 84
    279 64
    203 45
    124 26
    41 8
    -44 -9
    -131 -25
    -221 -41
    -313 -56
    -407 -70
    -502 -84
    -600 -97
    -699 -110
    -799 -122
    -901 -134
    -1004 -145
    -1108 -156
    -1213 -167
    1225 -199
    1120 -187
    1016 -174
    913 -160
    812 -147
    712 -132
    614 -117
    517 -102
    422 -86
    329 -69
    238 -51
    148 -33
    62 -14
    -23 5
    -104 26
    -183 48
    -258 70
    -330 93
    -398 118
    -462 143
    -521 169
    -576 197
    -625 226
    -668 255
    -704 286
    -733 318
    -755 351
    -768 384
    -772 418
    -766 453
    -750 487
    -722 522
    -683 555
    -632 587
    -569 617
    -495 643
    -410 666
    -316 685
    -215 698
    -109 707
    0 710
    109 707
    215 698
    316 685
    410 666
    495 643
    569 617
    632 587
    683 555
    722 522
    750 487
    766 453
    772 418
    768 384
    755 351
    733 318
    704 286
    668 255
    625 226
    576 197
    521 169
    462 143
    398 118
    330 93
    258 70
    183 48
    104 26
    23 5
    -62 -14
    -148 -33
    -238 -51
    -329 -69
    -422 -86
    -517 -102
    -614 -117
    -712 -132
    -812 -147
    -913 -160
    -1016 -174
    -1120 -187
    -1225 -199
    1238 -232
    1133 -218
    1030 -203
    928 -188
    827 -172
    728 -156
    630 -139
    534 -121
    440 -103
    347 -84
    257 -64
    168 -44
    82 -22
    -1 0
    -82 24
    -159 48
    -234 73
    -305 99
    -372 127
    -434 155
    -493 185
    -546 215
    -594 247
    -636 280
    -671 315
    -699 350
    -720 386
    -733 423
    -736 460
    -730 498
    -714 535
    -687 572
    -649 609
    -600 643
    -540 675
    -469 703
    -388 728
    -299 748
    -203 763
    -103 772
    0 775
    103 772
    203 763
    299 748
    388 728
    469 703
    540 675
    600 643
    649 609
    687 572
    714 535
    730 498
    736 460
    733 423
    720 386
    699 350
    671 315
    636 280
    594 247
    546 215
    493 185
    434 155
    372 127
    305 99
    234 73
    159 48
    82 24
    1 0
    -82 -22
    -168 -44
    -257 -64
    -347 -84
    -440 -103
    -534 -121
    -630 -139
    -728 -156
    -827 -172
    -928 -188
    -1030 -203
    -1133 -218
    -1238 -232
    1252 -266
    1148 -250
    1046 -234
    944 -217
    844 -199
    746 -181
    649 -162
    553 -142
    459 -122
    368 -101
    278 -79
    190 -56
    105 -32
    23 -7
    -57 19
    -133 45
    -207 73
    -276 102
    -342 132
    -404 164
    -461 196
    -513 230
    -560 264
    -601 300
    -635 337
    -662 375
    -682 414
    -694 454
    -697 494
    -691 534
    -675 574
    -649 613
    -613 651
    -566 687
    -509 721
    -441 751
    -365 776
    -281 797
    -191 813
    -97 822
    0 825
    97 822
    191 813
    281 797
    365 776
    441 751
    509 721
    566 687
    613 651
    649 613
    675 574
    691 534
    697 494
    694 454
    682 414
    662 375
    635 337
    601 300
    560 264
    513 230
    461 196
    404 164
    342 132
    276 102
    207 73
    133 45
    57 19
    -23 -7
    -105 -32
    -190 -56
    -278 -79
    -368 -101
    -459 -122
    -553 -142
    -649 -162
    -746 -181
    -844 -199
    -944 -217
    -1046 -234
    -1148 -250
    -1252 -266
    1269 -301
    1165 -284
    1063 -266
    962 -247
    863 -228
    765 -208
    669 -187
    574 -165
    481 -143
    390 -120
    302 -95
    215 -70
    131 -44
    49 -17
    -29 11
    -105 40
    -177 70
    -246 101
    -310 134
    -371 168
    -427 203
    -478 239
    -523 276
    -563 314
    -596 354
    -623 394
    -642 435
    -653 477
    -656 519
    -650 561
    -635 603
    -610 644
    -575 683
    -531 720
    -476 754
    -413 785
    -342 811
    -263 833
    -179 848
    -90 858
    0 861
    90 858
    179 848
    263 833
    342 811
    413 785
    476 754
    531 720
    575 683
    610 644
    635 603
    650 561
    656 519
    653 477
    642 435
    623 394
    596 354
    563 314
    523 276
    478 239
    427 203
    371 168
    310 134
    246 101
    177 70
    105 40
    29 11
    -49 -17
    -131 -44
    -215 -70
    -302 -95
    -390 -120
    -481 -143
    -574 -165
    -669 -187
    -765 -208
    -863 -228
    -962 -247
    -1063 -266
    -1165 -284
    -1269 -301
    1286 -338
    1184 -319
    1082 -299
    982 -279
    884 -258
    786 -236
    691 -213
    597 -190
    505 -166
    415 -141
    327 -114
    242 -87
    158 -59
    78 -30
    0 -0
    -74 31
    -145 64
    -213 97
    -276 132
    -335 168
    -390 205
    -440 243
    -484 282
    -523 323
    -555 364
    -581 407
    -599 450
    -610 493
    -613 536
    -607 580
    -593 623
    -569 664
    -536 704
    -494 742
    -444 776
    -384 807
    -318 834
    -244 855
    -166 871
    -84 880
    0 884
    84 880
    166 871
    244 855
    318 834
    384 807
    444 776
    494 742
    536 704
    569 664
    593 623
    607 580
    613 536
    610 493
    599 450
    581 407
    555 364
    523 323
    484 282
    440 243
    390 205
    335 168
    276 132
    213 97
    145 64
    74 31
    -0 -0
    -78 -30
    -158 -59
    -242 -87
    -327 -114
    -415 -141
    -505 -166
    -597 -190
    -691 -213
    -786 -236
    -884 -258
    -982 -279
    -1082 -299
    -1184 -319
    -1286 -338
    1306 -375
    1204 -355
    1103 -334
    1004 -312
    906 -289
    809 -266
    715 -242
    622 -217
    531 -191
    442 -164
    355 -136
    270 -107
    188 -77
    109 -46
    32 -14
    -41 19
    -111 53
    -178 89
    -240 125
    -298 163
    -352 202
    -400 242
    -443 283
    -481 325
    -513 368
    -538 412
    -556 456
    -566 501
    -569 545
    -564 589
    -550 633
    -528 675
    -497 715
    -458 753
    -411 787
    -356 818
    -294 844
    -226 865
    -153 881
    -77 890
    0 894
    77 890
    153 881
    226 865
    294 844
    356 818
    411 787
    458 753
    497 715
    528 675
    550 633
    564 589
    569 545
    566 501
    556 456
    538 412
    513 368
    481 325
    443 283
    400 242
    352 202
    298 163
    240 125
    178 89
    111 53
    41 19
    -32 -14
    -109 -46
    -188 -77
    -270 -107
    -355 -136
    -442 -164
    -531 -191
    -622 -217
    -715 -242
    -809 -266
    -906 -289
    -1004 -312
    -1103 -334
    -1204 -355
    -1306 -375
    1327 -415
    1225 -393
    1125 -370
    1027 -347
    930 -323
    834 -298
    740 -272
    648 -245
    558 -218
    470 -189
    384 -160
    300 -129
    219 -98
    141 -65
    65 -31
    -7 3
    -76 39
    -141 76
    -202 115
    -259 154
    -311 195
    -359 236
    -401 279
    -438 322
    -469 366
    -493 411
    -511 456
    -521 501
    -524 546
    -520 591
    -507 634
    -487 676
    -458 716
    -422 754
    -378 788
    -327 818
    -270 844
    -208 865
    -141 880
    -71 889
    0 892
    71 889
    141 880
    208 865
    270 844
    327 818
    378 788
    422 754
    458 716
    487 676
    507 634
    520 591
    524 546
    521 501
    511 456
    493 411
    469 366
    438 322
    401 279
    359 236
    311 195
    259 154
    202 115
    141 76
    76 39
    7 3
    -65 -31
    -141 -65
    -219 -98
    -300 -129
    -384 -160
    -470 -189
    -558 -218
    -648 -245
    -740 -272
    -834 -298
    -930 -323
    -1027 -347
    -1125 -370
    -1225 -393
    -1327 -415
    1349 -455
    1248 -432
    1149 -408
    1051 -384
    955 -358
    860 -332
    767 -305
    676 -276
    587 -247
    499 -217
    415 -187
    332 -155
    252 -122
    175 -87
    101 -52
    29 -16
    -38 21
    -102 60
    -162 100
    -218 140
    -270 182
    -316 225
    -358 268
    -394 313
    -424 358
    -448 403
    -465 449
    -476 494
    -479 539
    -476 584
    -465 627
    -446 669
    -420 709
    -386 745
    -346 779
    -299 809
    -247 834
    -190 854
    -129 869
    -65 878
    0 881
    65 878
    129 869
    190 854
    247 834
    299 809
    346 779
    386 745
    420 709
    446 669
    465 627
    476 584
    479 539
    476 494
    465 449
    448 403
    424 358
    394 313
    358 268
    316 225
    270 182
    218 140
    162 100
    102 60
    38 21
    -29 -16
    -101 -52
    -175 -87
    -252 -122
    -332 -155
    -415 -187
    -499 -217
    -587 -247
    -676 -276
    -767 -305
    -860 -332
    -955 -358
    -1051 -384
    -1149 -408
    -1248 -432
    -1349 -455
    1372 -497
    1272 -473
    1174 -448
    1077 -422
    981 -395
    888 -368
    795 -339
    705 -310
    617 -279
    531 -248
    447 -216
    365 -183
    286 -148
    210 -113
    137 -76
    67 -39
    0 -0
    -63 39
    -122 80
    -177 122
    -227 165
    -273 208
    -313 252
    -349 297
    -378 343
    -402 389
    -419 434
    -430 480
    -435 525
    -432 569
    -422 612
    -406 653
    -382 692
    -352 728
    -315 761
    -272 790
    -225 814
    -173 834
    -117 848
    -59 857
    0 860
    59 857
    117 848
    173 834
    225 814
    272 790
    315 761
    352 728
    382 692
    406 653
    422 612
    432 569
    435 525
    430 480
    419 434
    402 389
    378 343
    349 297
    313 252
    273 208
    227 165
    177 122
    122 80
    63 39
    -0 -0
    -67 -39
    -137 -76
    -210 -113
    -286 -148
    -365 -183
    -447 -216
    -531 -248
    -617 -279
    -705 -310
    -795 -339
    -888 -368
    -981 -395
    -1077 -422
    -1174 -448
    -1272 -473
    -1372 -497
    1397 -541
    1298 -516
    1200 -489
    1104 -462
    1009 -434
    916 -406
    825 -376
    736 -345
    648 -314
    563 -281
    480 -248
    400 -214
    322 -178
    247 -142
    174 -104
    106 -65
    40 -26
    -22 15
    -80 56
    -134 99
    -184 142
    -228 186
    -268 231
    -303 276
    -332 322
    -356 368
    -374 414
    -385 459
    -390 504
    -389 548
    -381 590
    -366 630
    -345 668
    -318 703
    -285 735
    -246 763
    -203 787
    -156 805
    -106 819
    -53 827
    0 830
    53 827
    106 819
    156 805
    203 787
    246 763
    285 735
    318 703
    345 668
    366 630
    381 590
    389 548
    390 504
    385 459
    374 414
    356 368
    332 322
    303 276
    268 231
    228 186
    184 142
    134 99
    80 56
    22 15
    -40 -26
    -106 -65
    -174 -104
    -247 -142
    -322 -178
    -400 -214
    -480 -248
    -563 -281
    -648 -314
    -736 -345
    -825 -376
    -916 -406
    -1009 -434
    -1104 -462
    -1200 -489
    -1298 -516
    -1397 -541
    1422 -587
    1324 -560
    1227 -533
    1132 -505
    1038 -476
    946 -446
    856 -415
    767 -384
    681 -351
    596 -317
    515 -283
    435 -248
    358 -211
    284 -174
    213 -135
    145 -96
    81 -55
    20 -14
    -38 28
    -91 71
    -140 115
    -184 160
    -223 205
    -258 250
    -287 296
    -310 341
    -328 387
    -340 432
    -346 476
    -346 519
    -340 560
    -327 600
    -309 637
    -285 671
    -255 702
    -221 728
    -182 751
    -140 769
    -95 782
    -48 790
    0 793
    48 790
    95 782
    140 769
    182 751
    221 728
    255 702
    285 671
    309 637
    327 600
    340 560
    346 519
    346 476
    340 432
    328 387
    310 341
    287 296
    258 250
    223 205
    184 160
    140 115
    91 71
    38 28
    -20 -14
    -81 -55
    -145 -96
    -213 -135
    -284 -174
    -358 -211
    -435 -248
    -515 -283
    -596 -317
    -681 -351
    -767 -384
    -856 -415
    -946 -446
    -1038 -476
    -1132 -505
    -1227 -533
    -1324 -560
    -1422 -587
    1449 -634
    1351 -606
    1255 -578
    1161 -549
    1068 -519
    977 -488
    887 -457
    800 -424
    714 -391
    631 -356
    550 -321
    471 -284
    396 -247
    322 -209
    252 -170
    185 -130
    122 -89
    62 -47
    5 -4
    -47 39
    -95 83
    -139 128
    -178 173
    -212 218
    -241 264
    -265 309
    -283 354
    -296 398
    -303 442
    -304 484
    -300 524
    -289 563
    -274 599
    -253 632
    -227 661
    -196 687
    -162 709
    -125 726
    -84 739
    -43 747
    0 749
    43 747
    84 739
    125 726
    162 709
    196 687
    227 661
    253 632
    274 599
    289 563
    300 524
    304 484
    303 442
    296 398
    283 354
    265 309
    241 264
    212 218
    178 173
    139 128
    95 83
    47 39
    -5 -4
    -62 -47
    -122 -89
    -185 -130
    -252 -170
    -322 -209
    -396 -247
    -471 -284
    -550 -321
    -631 -356
    -714 -391
    -800 -424
    -887 -457
    -977 -488
    -1068 -519
    -1161 -549
    -1255 -578
    -1351 -606
    -1449 -634
    1476 -683
    1380 -655
    1285 -625
    1191 -595
    1099 -565
    1008 -533
    920 -500
    833 -467
    749 -433
    666 -398
    586 -361
    509 -324
    434 -286
    361 -248
    292 -208
    226 -167
    163 -126
    104 -84
    48 -41
    -3 3
    -51 47
    -94 92
    -133 137
    -167 182
    -196 227
    -220 271
    -239 316
    -252 359
    -261 402
    -263 443
    -261 482
    -253 519
    -240 554
    -222 586
    -199 615
    -173 640
    -143 661
    -110 677
    -75 689
    -38 697
    0 699
    38 697
    75 689
    110 677
    143 661
    173 640
    199 615
    222 586
    240 554
    253 519
    261 482
    263 443
    261 402
    252 359
    239 316
    220 271
    196 227
    167 182
    133 137
    94 92
    51 47
    3 3
    -48 -41
    -104 -84
    -163 -126
    -226 -167
    -292 -208
    -361 -248
    -434 -286
    -509 -324
    -586 -361
    -666 -398
    -749 -433
    -833 -467
    -920 -500
    -1008 -533
    -1099 -565
    -1191 -595
    -1285 -625
    -1380 -655
    -1476 -683
    1505 -734
    1409 -704
    1314 -675
    1222 -644
    1130 -612
    1041 -580
    953 -547
    867 -513
    784 -478
    702 -442
    623 -405
    546 -367
    472 -329
    401 -290
    333 -249
    267 -208
    205 -167
    147 -124
    92 -81
    41 -38
    -6 6
    -49 51
    -88 95
    -122 140
    -151 184
    -176 229
    -195 272
    -210 315
    -219 356
    -224 396
    -223 435
    -217 471
    -207 504
    -192 535
    -173 562
    -150 586
    -124 606
    -96 622
    -65 634
    -33 641
    0 643
    33 641
    65 634
    96 622
    124 606
    150 586
    173 562
    192 535
    207 504
    217 471
    223 435
    224 396
    219 356
    210 315
    195 272
    176 229
    151 184
    122 140
    88 95
    49 51
    6 6
    -41 -38
    -92 -81
    -147 -124
    -205 -167
    -267 -208
    -333 -249
    -401 -290
    -472 -329
    -546 -367
    -623 -405
    -702 -442
    -784 -478
    -867 -513
    -953 -547
    -1041 -580
    -1130 -612
    -1222 -644
    -1314 -675
    -1409 -704
    -1505 -734
    1534 -786
    1439 -756
    1345 -726
    1253 -694
    1163 -662
    1074 -629
    987 -595
    902 -560
    819 -525
    739 -489
    660 -451
    585 -413
    511 -374
    441 -335
    373 -294
    309 -253
    247 -211
    189 -169
    135 -126
    84 -82
    38 -39
    -5 6
    -44 50
    -78 94
    -107 138
    -132 181
    -153 224
    -168 265
    -179 306
    -185 345
    -186 382
    -183 417
    -175 449
    -163 479
    -148 505
    -129 528
    -107 547
    -82 562
    -56 573
    -28 580
    0 582
    28 580
    56 573
    82 562
    107 547
    129 528
    148 505
    163 479
    175 449
    183 417
    186 382
    185 345
    179 306
    168 265
    153 224
    132 181
    107 138
    78 94
    44 50
    5 6
    -38 -39
    -84 -82
    -135 -126
    -189 -169
    -247 -211
    -309 -253
    -373 -294
    -441 -335
    -511 -374
    -585 -413
    -660 -451
    -739 -489
    -819 -525
    -902 -560
    -987 -595
    -1074 -629
    -1163 -662
    -1253 -694
    -1345 -726
    -1439 -756
    -1534 -786
    1564 -841
    1469 -810
    1376 -779
    1285 -747
    1196 -714
    1108 -680
    1022 -646
    938 -611
    856 -575
    776 -538
    698 -500
    623 -462
    551 -423
    481 -383
    414 -342
    350 -301
    290 -259
    232 -217
    178 -174
    128 -131
    81 -88
    39 -44
    0 -0
    -34 43
    -64 86
    -90 129
    -111 170
    -128 211
    -140 250
    -147 288
    -151 324
    -150 358
    -145 389
    -136 417
    -124 443
    -108 465
    -90 483
    -69 498
    -47 508
    -24 515
    0 517
    24 515
    47 508
    69 498
    90 483
    108 465
    124 443
    136 417
    145 389
    150 358
    151 324
    147 288
    140 250
    128 211
    111 170
    90 129
    64 86
    34 43
    -0 -0
    -39 -44
    -81 -88
    -128 -131
    -178 -174
    -232 -217
    -290 -259
    -350 -301
    -414 -342
    -481 -383
    -551 -423
    -623 -462
    -698 -500
    -776 -538
    -856 -575
    -938 -611
    -1022 -646
    -1108 -680
    -1196 -714
    -1285 -747
    -1376 -779
    -1469 -810
    -1564 -841
    1594 -897
    1501 -866
    1408 -834
    1318 -801
    1229 -768
    1142 -734
    1057 -699
    973 -664
    892 -627
    813 -590
    736 -552
    662 -514
    590 -474
    521 -434
    455 -394
    392 -353
    332 -311
    275 -269
    221 -226
    171 -183
    125 -140
    82 -97
    43 -54
    9 -12
    -22 31
    -48 72
    -70 113
    -88 152
    -102 191
    -111 227
    -116 262
    -118 295
    -115 325
    -110 352
    -100 376
    -88 397
    -74 415
    -57 429
    -39 439
    -20 445
    0 447
    20 445
    39 439
    57 429
    74 415
    88 397
    100 376
    110 352
    115 325
    118 295
    116 262
    111 227
    102 191
    88 152
    70 113
    48 72
    22 31
    -9 -12
    -43 -54
    -82 -97
    -125 -140
    -171 -183
    -221 -226
    -275 -269
    -332 -311
    -392 -353
    -455 -394
    -521 -434
    -590 -474
    -662 -514
    -736 -552
    -813 -590
    -892 -627
    -973 -664
    -1057 -699
    -1142 -734
    -1229 -768
    -1318 -801
    -1408 -834
    -1501 -866
    -1594 -897
    1625 -955
    1532 -923
    1441 -891
    1351 -858
    1263 -824
    1176 -790
    1092 -755
    1009 -719
    929 -682
    851 -645
    775 -607
    701 -568
    630 -529
    562 -489
    496 -448
    433 -407
    373 -366
    317 -324
    264 -282
    214 -239
    167 -197
    125 -154
    86 -112
    51 -70
    20 -29
    -7 12
    -31 51
    -50 90
    -65 127
    -76 162
    -83 196
    -87 227
    -87 256
    -84 283
    -78 306
    -69 326
    -58 343
    -45 356
    -31 366
    -16 371
    0 373
    16 371
    31 366
    45 356
    58 343
    69 326
    78 306
    84 283
    87 256
    87 227
    83 196
    76 162
    65 127
    50 90
    31 51
    7 12
    -20 -29
    -51 -70
    -86 -112
    -125 -154
    -167 -197
    -214 -239
    -264 -282
    -317 -324
    -373 -366
    -433 -407
    -496 -448
    -562 -489
    -630 -529
    -701 -568
    -775 -607
    -851 -645
    -929 -682
    -1009 -719
    -1092 -755
    -1176 -790
    -1263 -824
    -1351 -858
    -1441 -891
    -1532 -923
    -1625 -955
    1656 -1015
    1564 -983
    1474 -950
    1384 -917
    1297 -883
    1211 -848
    1128 -813
    1046 -776
    966 -740
    888 -702
    813 -664
    740 -625
    670 -586
    602 -546
    537 -506
    474 -465
    415 -424
    359 -382
    306 -340
    256 -299
    210 -257
    167 -215
    128 -174
    92 -133
    60 -92
    32 -53
    8 -14
    -12 23
    -29 59
    -42 94
    -51 126
    -57 156
    -60 184
    -60 209
    -57 232
    -51 251
    -44 267
    -34 280
    -24 289
    -12 295
    0 296
    12 295
    24 289
    34 280
    44 267
    51 251
    57 232
    60 209
    60 184
    57 156
    51 126
    42 94
    29 59
    12 23
    -8 -14
    -32 -53
    -60 -92
    -92 -133
    -128 -174
    -167 -215
    -210 -257
    -256 -299
    -306 -340
    -359 -382
    -415 -424
    -474 -465
    -537 -506
    -602 -546
    -670 -586
    -740 -625
    -813 -664
    -888 -702
    -966 -740
    -1046 -776
    -1128 -813
    -1211 -848
    -1297 -883
    -1384 -917
    -1474 -950
    -1564 -983
    -1656 -1015
    1688 -1076
    1597 -1044
    1507 -1011
    1418 -977
    1332 -943
    1247 -908
    1163 -873
    1082 -836
    1003 -799
    926 -762
    852 -724
    779 -685
    709 -646
    642 -606
    577 -566
    515 -526
    456 -485
    400 -444
    347 -402
    297 -361
    251 -320
    208 -279
    168 -239
    132 -198
    100 -159
    71 -120
    46 -83
    24 -47
    6 -12
    -9 21
    -21 53
    -29 82
    -34 109
    -36 133
    -36 154
    -34 173
    -30 188
    -24 200
    -16 209
    -8 214
    0 216
    8 214
    16 209
    24 200
    30 188
    34 173
    36 154
    36 133
    34 109
    29 82
    21 53
    9 21
    -6 -12
    -24 -47
    -46 -83
    -71 -120
    -100 -159
    -132 -198
    -168 -239
    -208 -279
    -251 -320
    -297 -361
    -347 -402
    -400 -444
    -456 -485
    -515 -526
    -577 -566
    -642 -606
    -709 -646
    -779 -685
    -852 -724
    -926 -762
    -1003 -799
    -1082 -836
    -1163 -873
    -1247 -908
    -1332 -943
    -1418 -977
    -1507 -1011
    -1597 -1044
    -1688 -1076
    1720 -1140
    1629 -1107
    1540 -1074
    1452 -1040
    1366 -1006
    1282 -971
    1199 -935
    1119 -899
    1040 -862
    964 -824
    890 -786
    818 -748
    749 -708
    682 -669
    617 -629
    556 -589
    497 -549
    441 -508
    388 -467
    338 -427
    292 -387
    248 -346
    208 -307
    172 -267
    138 -229
    108 -191
    82 -155
    59 -120
    39 -86
    22 -54
    9 -24
    -1 4
    -9 30
    -14 53
    -17 74
    -17 91
    -16 106
    -13 118
    -10 126
    -5 131
    0 133
    5 131
    10 126
    13 118
    16 106
    17 91
    17 74
    14 53
    9 30
    1 4
    -9 -24
    -22 -54
    -39 -86
    -59 -120
    -82 -155
    -108 -191
    -138 -229
    -172 -267
    -208 -307
    -248 -346
    -292 -387
    -338 -427
    -388 -467
    -441 -508
    -497 -549
    -556 -589
    -617 -629
    -682 -669
    -749 -708
    -818 -748
    -890 -786
    -964 -824
    -1040 -862
    -1119 -899
    -1199 -935
    -1282 -971
    -1366 -1006
    -1452 -1040
    -1540 -1074
    -1629 -1107
    -1720 -1140
    1753 -1205
    1662 -1172
    1574 -1139
    1487 -1105
    1401 -1070
    1317 -1035
    1236 -999
    1156 -963
    1078 -926
    1002 -889
    928 -851
    857 -812
    788 -774
    721 -734
    657 -695
    596 -655
    537 -615
    481 -575
    428 -536
    378 -496
    332 -456
    288 -417
    247 -378
    210 -340
    176 -302
    145 -266
    117 -230
    93 -196
    71 -164
    53 -133
    38 -104
    25 -77
    15 -52
    7 -29
    2 -10
    -1 7
    -3 21
    -4 33
    -3 41
    -2 45
    0 47
    2 45
    3 41
    4 33
    3 21
    1 7
    -2 -10
    -7 -29
    -15 -52
    -25 -77
    -38 -104
    -53 -133
    -71 -164
    -93 -196
    -117 -230
    -145 -266
    -176 -302
    -210 -340
    -247 -378
    -288 -417
    -332 -456
    -378 -496
    -428 -536
    -481 -575
    -537 -615
    -596 -655
    -657 -695
    -721 -734
    -788 -774
    -857 -812
    -928 -851
    -1002 -889
    -1078 -926
    -1156 -963
    -1236 -999
    -1317 -1035
    -1401 -1070
    -1487 -1105
    -1574 -1139
    -1662 -1172
    -1753 -1205
    1785 -1272
    1695 -1239
    1607 -1206
    1521 -1171
    1436 -1137
    1353 -1102
    1272 -1066
    1192 -1030
    1115 -993
    1039 -956
    966 -918
    895 -880
    827 -841
    760 -802
    696 -763
    635 -724
    577 -685
    521 -646
    468 -606
    418 -567
    371 -528
    327 -490
    285 -452
    247 -415
    212 -378
    180 -343
    151 -308
    126 -275
    103 -244
    83 -214
    65 -186
    50 -160
    38 -136
    28 -115
    20 -96
    14 -79
    9 -66
    6 -55
    3 -47
    2 -43
    0 -41
    -2 -43
    -3 -47
    -6 -55
    -9 -66
    -14 -79
    -20 -96
    -28 -115
    -38 -136
    -50 -160
    -65 -186
    -83 -214
    -103 -244
    -126 -275
    -151 -308
    -180 -343
    -212 -378
    -247 -415
    -285 -452
    -327 -490
    -371 -528
    -418 -567
    -468 -606
    -521 -646
    -577 -685
    -635 -724
    -696 -763
    -760 -802
    -827 -841
    -895 -880
    -966 -918
    -1039 -956
    -1115 -993
    -1192 -1030
    -1272 -1066
    -1353 -1102
    -1436 -1137
    -1521 -1171
    -1607 -1206
    -1695 -1239
    -1785 -1272
    1818 -1341
    1729 -1308
    1641 -1274
    1555 -1240
    1471 -1205
    1388 -1170
    1308 -1134
    1229 -1098
    1152 -1062
    1077 -1025
    1004 -987
    933 -949
    865 -911
    799 -873
    735 -834
    674 -796
    616 -757
    560 -718
    507 -680
    456 -641
    409 -603
    364 -566
    323 -529
    284 -492
    248 -457
    215 -422
    185 -389
    157 -357
    133 -327
    111 -298
    92 -271
    75 -246
    60 -223
    48 -202
    37 -184
    29 -168
    21 -155
    15 -145
    9 -138
    5 -133
    0 -132
    -5 -133
    -9 -138
    -15 -145
    -21 -155
    -29 -168
    -37 -184
    -48 -202
    -60 -223
    -75 -246
    -92 -271
    -111 -298
    -133 -327
    -157 -357
    -185 -389
    -215 -422
    -248 -457
    -284 -492
    -323 -529
    -364 -566
    -409 -603
    -456 -641
    -507 -680
    -560 -718
    -616 -757
    -674 -796
    -735 -834
    -799 -873
    -865 -911
    -933 -949
    -1004 -987
    -1077 -1025
    -1152 -1062
    -1229 -1098
    -1308 -1134
    -1388 -1170
    -1471 -1205
    -1555 -1240
    -1641 -1274
    -1729 -1308
    -1818 -1341
    

    4. Load "mesh.txt" above along with the LDC input YUV image from the camera into DCC tuning tool to generate a LDC preview and the DCC xml file for LDC.
    Tuning tool can take care of the block size and H/W padding details (please follow the LDC plugin guide from the help menu).
    The blue text in below screenshot shows the top 5 best options for LDC output block size and padding along with the corresponding DDR read bandwidth per output image.

    LDC input image with fisheye distortion:
    original image_1280x944_uyvy.yuv

    LDC output preview from DCC tuning tool:

    DCC xml file and its included LUT in H/W format exported by DCC tuning tool.

    "test_mesh_ldc_dcc.xml"

    <?xml version="1.0" encoding="utf-8"?>
    <cfg_ldc_xml xmlns:xsi="http://www.w3.org/2001/xmlschema-instance" xmlns:xsd="http://www.w3.org/2001/xmlschema">
      <!--this is a comment-->
        <dcc_name>cfg_ldc</dcc_name>
        <dcc_header>
    		<camera_module_id>     42 </camera_module_id>
    	    <dcc_descriptor_id>   5 </dcc_descriptor_id>
    	    <algorithm_vendor_id>  1 </algorithm_vendor_id>
    	    <tunning_tool_version> 0 </tunning_tool_version>
        </dcc_header>
    <!--=======================================================================-->
        <typedef>
      	<cfg_ldc_vars type="struct">
            <ldc_en type="uint16"> </ldc_en>
            <ldc_ldmapen type="uint16"> </ldc_ldmapen>
            <ldc_datamode type="uint16"> </ldc_datamode>
            <ldc_opdatamode type="uint16"> </ldc_opdatamode>
            <ldc_ip_dfmt type="uint16"> </ldc_ip_dfmt>
            <ldc_pwarpen type="uint16"> </ldc_pwarpen>
            <ldc_yint_typ type="uint16"> </ldc_yint_typ>
            <ldc_regmode_en type="uint16"> </ldc_regmode_en>
            <ldc_meshtable_m type="uint16"> </ldc_meshtable_m>
            <ldc_mesh_frsz_w type="uint16"> </ldc_mesh_frsz_w>
            <ldc_mesh_frsz_h type="uint16"> </ldc_mesh_frsz_h>
            <ldc_compute_frsz_w type="uint16"> </ldc_compute_frsz_w>
            <ldc_compute_frsz_h type="uint16"> </ldc_compute_frsz_h>
            <ldc_initx type="uint16"> </ldc_initx>
            <ldc_inity type="uint16"> </ldc_inity>
            <ldc_input_frsz_w type="uint16"> </ldc_input_frsz_w>
            <ldc_input_frsz_h type="uint16"> </ldc_input_frsz_h>
            <ldc_obw type="uint16"> </ldc_obw>
            <ldc_obh type="uint16"> </ldc_obh>
            <ldc_pixpad type="uint16"> </ldc_pixpad>
            <ldc_a type="int16"> </ldc_a>
            <ldc_b type="int16"> </ldc_b>
            <ldc_c type="int16"> </ldc_c>
            <ldc_d type="int16"> </ldc_d>
            <ldc_e type="int16"> </ldc_e>
            <ldc_f type="int16"> </ldc_f>
            <ldc_g type="int16"> </ldc_g>
            <ldc_h type="int16"> </ldc_h>
            <ldc_sf_width type="uint16[3]"> </ldc_sf_width>
            <ldc_sf_height type="uint16[3]"> </ldc_sf_height>
            <ldc_sf_en  type="uint16[3][3]"> </ldc_sf_en>
            <ldc_sf_obw type="uint16[3][3]"> </ldc_sf_obw>
            <ldc_sf_obh type="uint16[3][3]"> </ldc_sf_obh>
            <ldc_sf_pad type="uint16[3][3]"> </ldc_sf_pad>
            <ldc_ylut_en type="uint16"> </ldc_ylut_en>
            <ldc_yin_bitdpth type="uint16"> </ldc_yin_bitdpth>
            <ldc_yout_bitdpth type="uint16"> </ldc_yout_bitdpth>
            <ldc_clut_en type="uint16"> </ldc_clut_en>
            <ldc_cin_bitdpth type="uint16"> </ldc_cin_bitdpth>
            <ldc_cout_bitdpth type="uint16"> </ldc_cout_bitdpth>
            <ldc_y_lut type="uint16[513]"> </ldc_y_lut>
            <ldc_c_lut type="uint16[513]"> </ldc_c_lut>
    		<mesh_table_pitch_in_bytes type="uint32"> </mesh_table_pitch_in_bytes>
    		<mesh_table_size type="uint32"> </mesh_table_size>
    		<mesh_lut type="uint16*"> </mesh_lut>
        </cfg_ldc_vars>
        </typedef>
    <!--=======================================================================-->
    	<use_case val="65535">
            <n-space>
          <region0 class="0">
            <exposure val="1" min="0" max="2000000">  </exposure>
            <gain val="0" min="0" max="100000">  </gain>
          </region0>
        </n-space>
    
            <parameter_package>
                <ldc_dcc type="cfg_ldc_vars">
                {
    				1     // LDC_CTRL              LDC_EN(0)            LDC Enable, 0: Disable,  1: Enable
    				1     // LDC_CTRL              LDMAPEN(1)           LD Mapping enable, 0: disable, 1: enable
    				0     // LDC_CTRL              DATAMODE(4:3)        Input data mode, 0: YUV422,  1: Y only, 2: YUV420, 3: YUV420 UV
    				0     // LDC_CTRL              OP_DATAMODE          Output data mode, 0: keep UYVY; 1: convert to 420
    				0     // LDC_CTRL              IP_DFMT(6:5)         Input pixel format, 0: 8b,  1: 12b packed, 2: 12b unpacked
    				1     // LDC_CTRL              PWARPEN(7)           0: Disable perspective warp. 1: Enable perspective warp
    				1     // LDC_CFG               YINT_TYP(6)          Interpolation type for Y data.  0: Bicubic,  1: Bilinear
    				0     // LDC_CFG               REGMODE_EN           Region mode, 0: disable, 1: enable
    				4     // LDC_MESHTABLE_CFG     M(2:0)               Mesh table subsampling factor (0-7)
    				1280  // LDC_MESH_FRSZ       W(13:0)              Mesh frame width (0-8192)
    				944   // LDC_MESH_FRSZ       H(29:16)             Mesh frame height (0-8192)
    				1280  // LDC_COMPUTE_FRSZ      W(13:0)              Compute width (0-8192)
    				944   // LDC_COMPUTE_FRSZ      H(29:16)             Compute height (0-8192)
    				0     // LDC_INITXY            INITX(13:0)          Output starting horizontal coordinate (0-8192)
    				0     // LDC_INITXY            INITY(29:16)         Output starting vertical coordinate (0-8192)
    				1280  // LDC_INPUT_FRSZ        W(29:16)             Input frame width
    				944   // LDC_INPUT_FRSZ        H(13:0)              Input frame height
    				80    // LDC_BLOCK_SIZE        OBW(7:0)             Output block width (0-255)
    				48    // LDC_BLOCK_SIZE        OBH(15:8)            Output block height (0-255)
    				1     // LDC_BLOCK_SIZE        PIXPAD(19:16)        Pixel pad (0-15)
    				4096  // LDC_AB                A(15:0)              Affine Transform warp, A S16Q12
    				0 // LDC_AB                B(31:16)             Affine Transform warp, B S16Q12
    				0  // LDC_CD                C(15:0)              Affine Transform warp, C S16Q3
    				0    // LDC_CD                D(31:16)             Affine Transform warp, D S16Q12
    				4096 // LDC_EF                E(15:0)              Affine Transform warp, E S16Q12
    				0  // LDC_EF                F(31:16)             Affine Transform warp, F S16Q3
    				0   // LDC_GH                G(15:0)              Affine Transform warp, G S16Q23
    				0 // LDC_GH                H(31:16)             Affine Transform warp, H S16Q23
    			  {0, 0, 0}                             //ldc_sf_width [3]
    			  {0, 0, 0}                             //ldc_sf_height[3]
    			  {{0, 0, 0}, {0, 0, 0}, {0, 0, 0}}     //ldc_sf_en [3][3]
    			  {{0, 0, 0}, {0, 0, 0}, {0, 0, 0}}     //ldc_sf_obw[3][3]
    			  {{0, 0, 0}, {0, 0, 0}, {0, 0, 0}}     //ldc_sf_obh[3][3]
    			  {{0, 0, 0}, {0, 0, 0}, {0, 0, 0}}     //ldc_sf_pad[3][3]
    
    				0     // LDC_DUALOUT_CFG       YLUT_EN              Luma LUT enable (0-1)
    				8     // LDC_DUALOUT_CFG       YIN_BITDPTH          Luma input bit depth (8-12)
    				8     // LDC_DUALOUT_CFG       YOUT_BITDPTH         Luma output bit depth (8-12)
    				0     // LDC_DUALOUT_CFG       CLUT_EN              Chroma LUT enable (0-1)
    				8     // LDC_DUALOUT_CFG       CIN_BITDPTH          Chroma input bit depth (8-12)
    				8     // LDC_DUALOUT_CFG       COUT_BITDPTH         Chroma output bit depth (8-12)
    
    				{ //y_lut
    					0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0
    				}
    				{ //c_lut
    					0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0
    				}
    				
    				336,    //mesh_table_pitch_in_bytes
    				10080, //mesh_table_size
    				{ //mesh_lut
    					#include "test_mesh_lut.txt"
    				}
                }
              </ldc_dcc>
            </parameter_package>
        </use_case>
    <!--=====================================================================-->
    </cfg_ldc_xml>

    "test_mesh_lut.txt"

    0x053d,0x071a,0x051c,0x06c1,0x04fa,0x0669,0x04d8,0x0613,0x04b5,0x05bf,0x0492,0x056c,0x046e,0x051c,0x044a,0x04cd,0x0426,0x0480,0x0401,0x0435,0x03db,0x03ec,0x03b5,0x03a5,0x038f,0x0361,0x0369,0x031f,0x0342,0x02df,0x031c,0x02a2,0x02f5,0x0268,0x02ce,0x0230,0x02a8,0x01fb,0x0281,0x01c8,0x025b,0x0199,0x0236,0x016c,0x0211,0x0143,0x01ec,0x011c,0x01c9,0x00f8,0x01a6,0x00d7,0x0185,0x00b9,0x0165,0x009d,0x0147,0x0085,0x012a,0x006f,0x010f,0x005c,0x00f6,0x004b,0x00df,0x003c,0x00ca,0x0030,0x00b8,0x0025,0x00a8,0x001d,0x009b,0x0015,0x0091,0x000f,0x008a,0x0009,0x0085,0x0005,0x0084,000000,0x0085,0xfffb,0x008a,0xfff7,0x0091,0xfff1,0x009b,0xffeb,0x00a8,0xffe3,0x00b8,0xffdb,0x00ca,0xffd0,0x00df,0xffc4,0x00f6,0xffb5,0x010f,0xffa4,0x012a,0xff91,0x0147,0xff7b,0x0165,0xff63,0x0185,0xff47,0x01a6,0xff29,0x01c9,0xff08,0x01ec,0xfee4,0x0211,0xfebd,0x0236,0xfe94,0x025b,0xfe67,0x0281,0xfe38,0x02a8,0xfe05,0x02ce,0xfdd0,0x02f5,0xfd98,0x031c,0xfd5e,0x0342,0xfd21,0x0369,0xfce1,0x038f,0xfc9f,0x03b5,0xfc5b,0x03db,0xfc14,0x0401,0xfbcb,0x0426,0xfb80,0x044a,0xfb33,0x046e,0xfae4,0x0492,0xfa94,0x04b5,0xfa41,0x04d8,0xf9ed,0x04fa,0xf997,0x051c,0xf93f,0x053d,0xf8e6,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x04f8,0x06f9,0x04d7,0x069f,0x04b6,0x0647,0x0493,0x05f1,0x0471,0x059c,0x044e,0x0549,0x042a,0x04f8,0x0406,0x04a8,0x03e1,0x045b,0x03bc,0x040f,0x0396,0x03c6,0x0370,0x037f,0x0349,0x033b,0x0322,0x02f8,0x02fb,0x02b8,0x02d4,0x027b,0x02ad,0x0241,0x0286,0x0209,0x025e,0x01d4,0x0237,0x01a2,0x0210,0x0173,0x01ea,0x0147,0x01c4,0x011d,0x019f,0x00f7,0x017a,0x00d4,0x0157,0x00b4,0x0134,0x0097,0x0113,0x007e,0x00f4,0x0067,0x00d6,0x0053,0x00ba,0x0041,0x00a0,0x0032,0x0088,0x0026,0x0073,0x001c,0x0060,0x0014,0x004f,0x000e,0x0042,0x0009,0x0037,0x0006,0x002f,0x0003,0x002b,0x0002,0x0029,000000,0x002b,0xfffe,0x002f,0xfffd,0x0037,0xfffa,0x0042,0xfff7,0x004f,0xfff2,0x0060,0xffec,0x0073,0xffe4,0x0088,0xffda,0x00a0,0xffce,0x00ba,0xffbf,0x00d6,0xffad,0x00f4,0xff99,0x0113,0xff82,0x0134,0xff69,0x0157,0xff4c,0x017a,0xff2c,0x019f,0xff09,0x01c4,0xfee3,0x01ea,0xfeb9,0x0210,0xfe8d,0x0237,0xfe5e,0x025e,0xfe2c,0x0286,0xfdf7,0x02ad,0xfdbf,0x02d4,0xfd85,0x02fb,0xfd48,0x0322,0xfd08,0x0349,0xfcc5,0x0370,0xfc81,0x0396,0xfc3a,0x03bc,0xfbf1,0x03e1,0xfba5,0x0406,0xfb58,0x042a,0xfb08,0x044e,0xfab7,0x0471,0xfa64,0x0493,0xfa0f,0x04b6,0xf9b9,0x04d7,0xf961,0x04f8,0xf907,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x04b5,0x06d9,0x0494,0x067e,0x0473,0x0626,0x0451,0x05cf,0x042e,0x0579,0x040b,0x0525,0x03e7,0x04d4,0x03c3,0x0484,0x039e,0x0436,0x0379,0x03ea,0x0353,0x03a0,0x032c,0x0359,0x0306,0x0314,0x02de,0x02d1,0x02b7,0x0291,0x028f,0x0254,0x0267,0x0219,0x023f,0x01e1,0x0218,0x01ac,0x01f0,0x017a,0x01c8,0x014c,0x01a1,0x0120,0x017a,0x00f7,0x0154,0x00d2,0x012e,0x00b0,0x010a,0x0091,0x00e6,0x0075,0x00c4,0x005d,0x00a4,0x0047,0x0085,0x0035,0x0068,0x0026,0x004d,0x0019,0x0034,0x000f,0x001d,0x0007,0x000a,0x0002,0xfff9,0xffff,0xffeb,0xfffd,0xffdf,0xfffc,0xffd7,0xfffd,0xffd3,0xfffe,0xffd1,000000,0xffd3,0x0002,0xffd7,0x0003,0xffdf,0x0004,0xffeb,0x0003,0xfff9,0x0001,0x000a,0xfffe,0x001d,0xfff9,0x0034,0xfff1,0x004d,0xffe7,0x0068,0xffda,0x0085,0xffcb,0x00a4,0xffb9,0x00c4,0xffa3,0x00e6,0xff8b,0x010a,0xff6f,0x012e,0xff50,0x0154,0xff2e,0x017a,0xff09,0x01a1,0xfee0,0x01c8,0xfeb4,0x01f0,0xfe86,0x0218,0xfe54,0x023f,0xfe1f,0x0267,0xfde7,0x028f,0xfdac,0x02b7,0xfd6f,0x02de,0xfd2f,0x0306,0xfcec,0x032c,0xfca7,0x0353,0xfc60,0x0379,0xfc16,0x039e,0xfbca,0x03c3,0xfb7c,0x03e7,0xfb2c,0x040b,0xfadb,0x042e,0xfa87,0x0451,0xfa31,0x0473,0xf9da,0x0494,0xf982,0x04b5,0xf927,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x0474,0x06b8,0x0453,0x065d,0x0432,0x0604,0x0410,0x05ac,0x03ee,0x0556,0x03cb,0x0502,0x03a7,0x04af,0x0383,0x045f,0x035e,0x0410,0x0338,0x03c4,0x0312,0x037a,0x02ec,0x0332,0x02c4,0x02ed,0x029d,0x02aa,0x0275,0x0269,0x024d,0x022c,0x0225,0x01f1,0x01fc,0x01b9,0x01d3,0x0184,0x01ab,0x0152,0x0183,0x0124,0x015a,0x00f8,0x0133,0x00d0,0x010b,0x00ac,0x00e5,0x008a,0x00bf,0x006c,0x009b,0x0052,0x0078,0x003b,0x0056,0x0027,0x0036,0x0016,0x0018,0x0009,0xfffc,0xffff,0xffe2,0xfff7,0xffcb,0xfff2,0xffb6,0xffef,0xffa5,0xffef,0xff96,0xfff0,0xff8a,0xfff3,0xff82,0xfff6,0xff7d,0xfffb,0xff7b,000000,0xff7d,0x0005,0xff82,0x000a,0xff8a,0x000d,0xff96,0x0010,0xffa5,0x0011,0xffb6,0x0011,0xffcb,0x000e,0xffe2,0x0009,0xfffc,0x0001,0x0018,0xfff7,0x0036,0xffea,0x0056,0xffd9,0x0078,0xffc5,0x009b,0xffae,0x00bf,0xff94,0x00e5,0xff76,0x010b,0xff54,0x0133,0xff30,0x015a,0xff08,0x0183,0xfedc,0x01ab,0xfeae,0x01d3,0xfe7c,0x01fc,0xfe47,0x0225,0xfe0f,0x024d,0xfdd4,0x0275,0xfd97,0x029d,0xfd56,0x02c4,0xfd13,0x02ec,0xfcce,0x0312,0xfc86,0x0338,0xfc3c,0x035e,0xfbf0,0x0383,0xfba1,0x03a7,0xfb51,0x03cb,0xfafe,0x03ee,0xfaaa,0x0410,0xfa54,0x0432,0xf9fc,0x0453,0xf9a3,0x0474,0xf948,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x0434,0x0698,0x0414,0x063d,0x03f3,0x05e3,0x03d1,0x058a,0x03af,0x0534,0x038c,0x04df,0x0369,0x048b,0x0344,0x043a,0x031f,0x03eb,0x02fa,0x039e,0x02d4,0x0354,0x02ad,0x030b,0x0286,0x02c5,0x025e,0x0282,0x0236,0x0241,0x020e,0x0203,0x01e5,0x01c8,0x01bc,0x0190,0x0192,0x015b,0x0169,0x0129,0x0140,0x00fb,0x0117,0x00d0,0x00ef,0x00a8,0x00c6,0x0084,0x009f,0x0064,0x0078,0x0047,0x0053,0x002e,0x002f,0x0018,0x000c,0x0006,0xffeb,0xfff7,0xffcb,0xffeb,0xffae,0xffe3,0xff93,0xffde,0xff7b,0xffdc,0xff66,0xffdc,0xff53,0xffde,0xff44,0xffe2,0xff38,0xffe8,0xff2f,0xfff0,0xff2a,0xfff8,0xff28,000000,0xff2a,0x0008,0xff2f,0x0010,0xff38,0x0018,0xff44,0x001e,0xff53,0x0022,0xff66,0x0024,0xff7b,0x0024,0xff93,0x0022,0xffae,0x001d,0xffcb,0x0015,0xffeb,0x0009,0x000c,0xfffa,0x002f,0xffe8,0x0053,0xffd2,0x0078,0xffb9,0x009f,0xff9c,0x00c6,0xff7c,0x00ef,0xff58,0x0117,0xff30,0x0140,0xff05,0x0169,0xfed7,0x0192,0xfea5,0x01bc,0xfe70,0x01e5,0xfe38,0x020e,0xfdfd,0x0236,0xfdbf,0x025e,0xfd7e,0x0286,0xfd3b,0x02ad,0xfcf5,0x02d4,0xfcac,0x02fa,0xfc62,0x031f,0xfc15,0x0344,0xfbc6,0x0369,0xfb75,0x038c,0xfb21,0x03af,0xfacc,0x03d1,0xfa76,0x03f3,0xfa1d,0x0414,0xf9c3,0x0434,0xf968,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x03f7,0x0678,0x03d7,0x061c,0x03b6,0x05c2,0x0395,0x0568,0x0373,0x0511,0x0350,0x04bb,0x032d,0x0468,0x0308,0x0416,0x02e4,0x03c6,0x02be,0x0378,0x0298,0x032d,0x0271,0x02e4,0x024a,0x029e,0x0222,0x025a,0x01fa,0x0219,0x01d1,0x01da,0x01a8,0x019f,0x017e,0x0167,0x0154,0x0132,0x012b,0x0100,0x0101,0x00d2,0x00d7,0x00a7,0x00ae,0x0080,0x0085,0x005c,0x005c,0x003c,0x0035,0x0020,0x000e,0x0008,0xffe9,0xfff4,0xffc5,0xffe3,0xffa2,0xffd6,0xff82,0xffcd,0xff64,0xffc7,0xff48,0xffc4,0xff2f,0xffc4,0xff18,0xffc7,0xff05,0xffcd,0xfef5,0xffd4,0xfee8,0xffde,0xfedf,0xffe8,0xfed9,0xfff4,0xfed8,000000,0xfed9,0x000c,0xfedf,0x0018,0xfee8,0x0022,0xfef5,0x002c,0xff05,0x0033,0xff18,0x0039,0xff2f,0x003c,0xff48,0x003c,0xff64,0x0039,0xff82,0x0033,0xffa2,0x002a,0xffc5,0x001d,0xffe9,0x000c,0x000e,0xfff8,0x0035,0xffe0,0x005c,0xffc4,0x0085,0xffa4,0x00ae,0xff80,0x00d7,0xff59,0x0101,0xff2e,0x012b,0xff00,0x0154,0xfece,0x017e,0xfe99,0x01a8,0xfe61,0x01d1,0xfe26,0x01fa,0xfde7,0x0222,0xfda6,0x024a,0xfd62,0x0271,0xfd1c,0x0298,0xfcd3,0x02be,0xfc88,0x02e4,0xfc3a,0x0308,0xfbea,0x032d,0xfb98,0x0350,0xfb45,0x0373,0xfaef,0x0395,0xfa98,0x03b6,0xfa3e,0x03d7,0xf9e4,0x03f7,0xf988,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x03bb,0x0659,0x039b,0x05fc,0x037b,0x05a1,0x035a,0x0547,0x0338,0x04ef,0x0316,0x0498,0x02f3,0x0444,0x02cf,0x03f1,0x02aa,0x03a1,0x0285,0x0353,0x025f,0x0307,0x0238,0x02bd,0x0211,0x0276,0x01e9,0x0232,0x01c0,0x01f0,0x0197,0x01b1,0x016e,0x0175,0x0144,0x013d,0x011a,0x0108,0x00ef,0x00d6,0x00c5,0x00a7,0x009a,0x007d,0x0070,0x0056,0x0046,0x0033,0x001d,0x0014,0xfff4,0xfff9,0xffcd,0xffe1,0xffa6,0xffce,0xff81,0xffbf,0xff5e,0xffb4,0xff3c,0xffad,0xff1d,0xffa9,0xff00,0xffa9,0xfee5,0xffac,0xfece,0xffb2,0xfeba,0xffbb,0xfea9,0xffc6,0xfe9c,0xffd3,0xfe92,0xffe1,0xfe8d,0xfff0,0xfe8b,000000,0xfe8d,0x0010,0xfe92,0x001f,0xfe9c,0x002d,0xfea9,0x003a,0xfeba,0x0045,0xfece,0x004e,0xfee5,0x0054,0xff00,0x0057,0xff1d,0x0057,0xff3c,0x0053,0xff5e,0x004c,0xff81,0x0041,0xffa6,0x0032,0xffcd,0x001f,0xfff4,0x0007,0x001d,0xffec,0x0046,0xffcd,0x0070,0xffaa,0x009a,0xff83,0x00c5,0xff59,0x00ef,0xff2a,0x011a,0xfef8,0x0144,0xfec3,0x016e,0xfe8b,0x0197,0xfe4f,0x01c0,0xfe10,0x01e9,0xfdce,0x0211,0xfd8a,0x0238,0xfd43,0x025f,0xfcf9,0x0285,0xfcad,0x02aa,0xfc5f,0x02cf,0xfc0f,0x02f3,0xfbbc,0x0316,0xfb68,0x0338,0xfb11,0x035a,0xfab9,0x037b,0xfa5f,0x039b,0xfa04,0x03bb,0xf9a7,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x0381,0x063a,0x0362,0x05dd,0x0342,0x0580,0x0321,0x0526,0x0300,0x04cd,0x02de,0x0476,0x02bb,0x0421,0x0298,0x03cd,0x0273,0x037c,0x024e,0x032d,0x0228,0x02e0,0x0202,0x0296,0x01da,0x024e,0x01b2,0x0209,0x018a,0x01c7,0x0161,0x0188,0x0137,0x014c,0x010d,0x0113,0x00e2,0x00dd,0x00b7,0x00ab,0x008c,0x007d,0x0061,0x0052,0x0036,0x002b,0x000c,0x0009,0xffe1,0xffea,0xffb8,0xffd0,0xff8f,0xffba,0xff68,0xffa8,0xff41,0xff9a,0xff1d,0xff91,0xfefa,0xff8c,0xfed9,0xff8a,0xfebb,0xff8d,0xfea0,0xff92,0xfe88,0xff9c,0xfe73,0xffa8,0xfe61,0xffb6,0xfe53,0xffc7,0xfe49,0xffd9,0xfe43,0xffec,0xfe41,000000,0xfe43,0x0014,0xfe49,0x0027,0xfe53,0x0039,0xfe61,0x004a,0xfe73,0x0058,0xfe88,0x0064,0xfea0,0x006e,0xfebb,0x0073,0xfed9,0x0076,0xfefa,0x0074,0xff1d,0x006f,0xff41,0x0066,0xff68,0x0058,0xff8f,0x0046,0xffb8,0x0030,0xffe1,0x0016,0x000c,0xfff7,0x0036,0xffd5,0x0061,0xffae,0x008c,0xff83,0x00b7,0xff55,0x00e2,0xff23,0x010d,0xfeed,0x0137,0xfeb4,0x0161,0xfe78,0x018a,0xfe39,0x01b2,0xfdf7,0x01da,0xfdb2,0x0202,0xfd6a,0x0228,0xfd20,0x024e,0xfcd3,0x0273,0xfc84,0x0298,0xfc33,0x02bb,0xfbdf,0x02de,0xfb8a,0x0300,0xfb33,0x0321,0xfada,0x0342,0xfa80,0x0362,0xfa23,0x0381,0xf9c6,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x0349,0x061c,0x032a,0x05bd,0x030b,0x0560,0x02eb,0x0505,0x02ca,0x04ac,0x02a8,0x0454,0x0286,0x03fe,0x0263,0x03aa,0x023f,0x0358,0x021a,0x0308,0x01f4,0x02ba,0x01ce,0x026f,0x01a7,0x0227,0x017f,0x01e1,0x0156,0x019e,0x012d,0x015e,0x0103,0x0122,0x00d9,0x00e8,0x00ae,0x00b2,0x0083,0x0080,0x0058,0x0051,0x002c,0x0027,000000,000000,0xffd5,0xffde,0xffaa,0xffc0,0xff7f,0xffa6,0xff56,0xff91,0xff2d,0xff80,0xff06,0xff74,0xfee0,0xff6d,0xfebc,0xff69,0xfe9a,0xff6a,0xfe7b,0xff6f,0xfe5f,0xff78,0xfe45,0xff84,0xfe2f,0xff94,0xfe1d,0xffa6,0xfe0e,0xffbb,0xfe04,0xffd1,0xfdfd,0xffe8,0xfdfb,000000,0xfdfd,0x0018,0xfe04,0x002f,0xfe0e,0x0045,0xfe1d,0x005a,0xfe2f,0x006c,0xfe45,0x007c,0xfe5f,0x0088,0xfe7b,0x0091,0xfe9a,0x0096,0xfebc,0x0097,0xfee0,0x0093,0xff06,0x008c,0xff2d,0x0080,0xff56,0x006f,0xff7f,0x005a,0xffaa,0x0040,0xffd5,0x0022,000000,000000,0x002c,0xffd9,0x0058,0xffaf,0x0083,0xff80,0x00ae,0xff4e,0x00d9,0xff18,0x0103,0xfede,0x012d,0xfea2,0x0156,0xfe62,0x017f,0xfe1f,0x01a7,0xfdd9,0x01ce,0xfd91,0x01f4,0xfd46,0x021a,0xfcf8,0x023f,0xfca8,0x0263,0xfc56,0x0286,0xfc02,0x02a8,0xfbac,0x02ca,0xfb54,0x02eb,0xfafb,0x030b,0xfaa0,0x032a,0xfa43,0x0349,0xf9e4,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x0312,0x05fe,0x02f4,0x059f,0x02d6,0x0541,0x02b6,0x04e5,0x0296,0x048b,0x0275,0x0432,0x0253,0x03db,0x0230,0x0386,0x020d,0x0333,0x01e9,0x02e3,0x01c3,0x0294,0x019d,0x0249,0x0176,0x01ff,0x014f,0x01b9,0x0126,0x0175,0x00fd,0x0135,0x00d3,0x00f7,0x00a9,0x00bd,0x007e,0x0087,0x0052,0x0054,0x0027,0x0026,0xfffa,0xfffb,0xffce,0xffd4,0xffa2,0xffb2,0xff76,0xff95,0xff4b,0xff7c,0xff20,0xff67,0xfef7,0xff58,0xfece,0xff4d,0xfea7,0xff47,0xfe82,0xff46,0xfe5f,0xff49,0xfe3f,0xff51,0xfe21,0xff5d,0xfe07,0xff6c,0xfdf0,0xff7f,0xfddd,0xff95,0xfdce,0xffae,0xfdc3,0xffc8,0xfdbc,0xffe4,0xfdba,000000,0xfdbc,0x001c,0xfdc3,0x0038,0xfdce,0x0052,0xfddd,0x006b,0xfdf0,0x0081,0xfe07,0x0094,0xfe21,0x00a3,0xfe3f,0x00af,0xfe5f,0x00b7,0xfe82,0x00ba,0xfea7,0x00b9,0xfece,0x00b3,0xfef7,0x00a8,0xff20,0x0099,0xff4b,0x0084,0xff76,0x006b,0xffa2,0x004e,0xffce,0x002c,0xfffa,0x0005,0x0027,0xffda,0x0052,0xffac,0x007e,0xff79,0x00a9,0xff43,0x00d3,0xff09,0x00fd,0xfecb,0x0126,0xfe8b,0x014f,0xfe47,0x0176,0xfe01,0x019d,0xfdb7,0x01c3,0xfd6c,0x01e9,0xfd1d,0x020d,0xfccd,0x0230,0xfc7a,0x0253,0xfc25,0x0275,0xfbce,0x0296,0xfb75,0x02b6,0xfb1b,0x02d6,0xfabf,0x02f4,0xfa61,0x0312,0xfa02,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x02de,0x05e1,0x02c0,0x0581,0x02a3,0x0522,0x0284,0x04c6,0x0264,0x046a,0x0244,0x0411,0x0223,0x03b9,0x0201,0x0363,0x01de,0x0310,0x01ba,0x02be,0x0195,0x026f,0x016f,0x0222,0x0149,0x01d8,0x0122,0x0191,0x00f9,0x014d,0x00d0,0x010b,0x00a7,0x00cd,0x007c,0x0093,0x0051,0x005c,0x0026,0x0029,0xfffa,0xfffa,0xffcd,0xffcf,0xffa1,0xffa8,0xff74,0xff86,0xff48,0xff69,0xff1b,0xff50,0xfef0,0xff3d,0xfec5,0xff2e,0xfe9c,0xff25,0xfe74,0xff20,0xfe4d,0xff21,0xfe29,0xff27,0xfe08,0xff31,0xfde9,0xff40,0xfdce,0xff53,0xfdb6,0xff6a,0xfda2,0xff84,0xfd92,0xffa0,0xfd86,0xffbf,0xfd7f,0xffdf,0xfd7d,000000,0xfd7f,0x0021,0xfd86,0x0041,0xfd92,0x0060,0xfda2,0x007c,0xfdb6,0x0096,0xfdce,0x00ad,0xfde9,0x00c0,0xfe08,0x00cf,0xfe29,0x00d9,0xfe4d,0x00df,0xfe74,0x00e0,0xfe9c,0x00db,0xfec5,0x00d2,0xfef0,0x00c3,0xff1b,0x00b0,0xff48,0x0097,0xff74,0x007a,0xffa1,0x0058,0xffcd,0x0031,0xfffa,0x0006,0x0026,0xffd7,0x0051,0xffa4,0x007c,0xff6d,0x00a7,0xff33,0x00d0,0xfef5,0x00f9,0xfeb3,0x0122,0xfe6f,0x0149,0xfe28,0x016f,0xfdde,0x0195,0xfd91,0x01ba,0xfd42,0x01de,0xfcf0,0x0201,0xfc9d,0x0223,0xfc47,0x0244,0xfbef,0x0264,0xfb96,0x0284,0xfb3a,0x02a3,0xfade,0x02c0,0xfa7f,0x02de,0xfa1f,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x02ab,0x05c4,0x028f,0x0564,0x0271,0x0505,0x0253,0x04a7,0x0235,0x044b,0x0215,0x03f0,0x01f4,0x0398,0x01d3,0x0341,0x01b1,0x02ed,0x018e,0x029a,0x0169,0x024a,0x0144,0x01fd,0x011e,0x01b2,0x00f8,0x0169,0x00d0,0x0124,0x00a7,0x00e2,0x007e,0x00a3,0x0054,0x0068,0x0029,0x0030,0xfffd,0xfffd,0xffd1,0xffcd,0xffa4,0xffa2,0xff77,0xff7b,0xff4a,0xff59,0xff1d,0xff3c,0xfef1,0xff24,0xfec4,0xff11,0xfe99,0xff04,0xfe6e,0xfefb,0xfe45,0xfef9,0xfe1e,0xfefb,0xfdf9,0xff03,0xfdd6,0xff10,0xfdb6,0xff22,0xfd99,0xff39,0xfd80,0xff53,0xfd6b,0xff71,0xfd5b,0xff92,0xfd4f,0xffb5,0xfd47,0xffda,0xfd45,000000,0xfd47,0x0026,0xfd4f,0x004b,0xfd5b,0x006e,0xfd6b,0x008f,0xfd80,0x00ad,0xfd99,0x00c7,0xfdb6,0x00de,0xfdd6,0x00f0,0xfdf9,0x00fd,0xfe1e,0x0105,0xfe45,0x0107,0xfe6e,0x0105,0xfe99,0x00fc,0xfec4,0x00ef,0xfef1,0x00dc,0xff1d,0x00c4,0xff4a,0x00a7,0xff77,0x0085,0xffa4,0x005e,0xffd1,0x0033,0xfffd,0x0003,0x0029,0xffd0,0x0054,0xff98,0x007e,0xff5d,0x00a7,0xff1e,0x00d0,0xfedc,0x00f8,0xfe97,0x011e,0xfe4e,0x0144,0xfe03,0x0169,0xfdb6,0x018e,0xfd66,0x01b1,0xfd13,0x01d3,0xfcbf,0x01f4,0xfc68,0x0215,0xfc10,0x0235,0xfbb5,0x0253,0xfb59,0x0271,0xfafb,0x028f,0xfa9c,0x02ab,0xfa3c,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x027a,0x05a9,0x025e,0x0547,0x0242,0x04e7,0x0225,0x0489,0x0207,0x042c,0x01e8,0x03d1,0x01c9,0x0377,0x01a8,0x0320,0x0187,0x02ca,0x0164,0x0277,0x0141,0x0226,0x011c,0x01d7,0x00f7,0x018c,0x00d1,0x0142,0x00aa,0x00fc,0x0082,0x00b9,0x0059,0x007a,0x002f,0x003e,0x0004,0x0005,0xffd9,0xffd1,0xffad,0xffa1,0xff80,0xff75,0xff53,0xff4e,0xff26,0xff2c,0xfef8,0xff0f,0xfecb,0xfef7,0xfe9e,0xfee5,0xfe72,0xfed8,0xfe46,0xfed1,0xfe1c,0xfed0,0xfdf4,0xfed4,0xfdcd,0xfedf,0xfda9,0xfeee,0xfd88,0xff03,0xfd6b,0xff1d,0xfd51,0xff3c,0xfd3b,0xff5e,0xfd2a,0xff83,0xfd1d,0xffac,0xfd15,0xffd5,0xfd13,000000,0xfd15,0x002b,0xfd1d,0x0054,0xfd2a,0x007d,0xfd3b,0x00a2,0xfd51,0x00c4,0xfd6b,0x00e3,0xfd88,0x00fd,0xfda9,0x0112,0xfdcd,0x0121,0xfdf4,0x012c,0xfe1c,0x0130,0xfe46,0x012f,0xfe72,0x0128,0xfe9e,0x011b,0xfecb,0x0109,0xfef8,0x00f1,0xff26,0x00d4,0xff53,0x00b2,0xff80,0x008b,0xffad,0x005f,0xffd9,0x002f,0x0004,0xfffb,0x002f,0xffc2,0x0059,0xff86,0x0082,0xff47,0x00aa,0xff04,0x00d1,0xfebe,0x00f7,0xfe74,0x011c,0xfe29,0x0141,0xfdda,0x0164,0xfd89,0x0187,0xfd36,0x01a8,0xfce0,0x01c9,0xfc89,0x01e8,0xfc2f,0x0207,0xfbd4,0x0225,0xfb77,0x0242,0xfb19,0x025e,0xfab9,0x027a,0xfa57,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x024b,0x058e,0x0230,0x052c,0x0215,0x04cb,0x01f9,0x046c,0x01dc,0x040e,0x01be,0x03b2,0x019f,0x0358,0x0180,0x02ff,0x015f,0x02a9,0x013d,0x0254,0x011b,0x0203,0x00f8,0x01b3,0x00d3,0x0166,0x00ae,0x011c,0x0087,0x00d5,0x0060,0x0091,0x0037,0x0051,0x000e,0x0014,0xffe4,0xffda,0xffb9,0xffa5,0xff8d,0xff74,0xff60,0xff48,0xff33,0xff21,0xff06,0xfefe,0xfed8,0xfee1,0xfeab,0xfeca,0xfe7d,0xfeb8,0xfe50,0xfeac,0xfe24,0xfea6,0xfdf9,0xfea6,0xfdd0,0xfeac,0xfda8,0xfeb9,0xfd83,0xfecb,0xfd61,0xfee3,0xfd42,0xff01,0xfd28,0xff23,0xfd11,0xff4a,0xfcff,0xff74,0xfcf2,0xffa1,0xfcea,0xffd0,0xfce7,000000,0xfcea,0x0030,0xfcf2,0x005f,0xfcff,0x008c,0xfd11,0x00b6,0xfd28,0x00dd,0xfd42,0x00ff,0xfd61,0x011d,0xfd83,0x0135,0xfda8,0x0147,0xfdd0,0x0154,0xfdf9,0x015a,0xfe24,0x015a,0xfe50,0x0154,0xfe7d,0x0148,0xfeab,0x0136,0xfed8,0x011f,0xff06,0x0102,0xff33,0x00df,0xff60,0x00b8,0xff8d,0x008c,0xffb9,0x005b,0xffe4,0x0026,0x000e,0xffec,0x0037,0xffaf,0x0060,0xff6f,0x0087,0xff2b,0x00ae,0xfee4,0x00d3,0xfe9a,0x00f8,0xfe4d,0x011b,0xfdfd,0x013d,0xfdac,0x015f,0xfd57,0x0180,0xfd01,0x019f,0xfca8,0x01be,0xfc4e,0x01dc,0xfbf2,0x01f9,0xfb94,0x0215,0xfb35,0x0230,0xfad4,0x024b,0xfa72,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x021d,0x0575,0x0204,0x0512,0x01e9,0x04b0,0x01ce,0x0450,0x01b2,0x03f1,0x0196,0x0394,0x0178,0x0339,0x0159,0x02e0,0x013a,0x0288,0x0119,0x0233,0x00f8,0x01e0,0x00d6,0x0190,0x00b2,0x0142,0x008e,0x00f7,0x0068,0x00ae,0x0041,0x006a,0x001a,0x0028,0xfff1,0xffea,0xffc8,0xffb0,0xff9d,0xff7a,0xff72,0xff48,0xff46,0xff1c,0xff19,0xfef4,0xfeec,0xfed1,0xfebe,0xfeb4,0xfe90,0xfe9c,0xfe62,0xfe8a,0xfe35,0xfe7f,0xfe08,0xfe7a,0xfddc,0xfe7b,0xfdb2,0xfe83,0xfd8a,0xfe92,0xfd64,0xfea7,0xfd41,0xfec2,0xfd21,0xfee3,0xfd05,0xff0a,0xfced,0xff35,0xfcdb,0xff64,0xfccd,0xff96,0xfcc5,0xffcb,0xfcc2,000000,0xfcc5,0x0035,0xfccd,0x006a,0xfcdb,0x009c,0xfced,0x00cb,0xfd05,0x00f6,0xfd21,0x011d,0xfd41,0x013e,0xfd64,0x0159,0xfd8a,0x016e,0xfdb2,0x017d,0xfddc,0x0185,0xfe08,0x0186,0xfe35,0x0181,0xfe62,0x0176,0xfe90,0x0164,0xfebe,0x014c,0xfeec,0x012f,0xff19,0x010c,0xff46,0x00e4,0xff72,0x00b8,0xff9d,0x0086,0xffc8,0x0050,0xfff1,0x0016,0x001a,0xffd8,0x0041,0xff96,0x0068,0xff52,0x008e,0xff09,0x00b2,0xfebe,0x00d6,0xfe70,0x00f8,0xfe20,0x0119,0xfdcd,0x013a,0xfd78,0x0159,0xfd20,0x0178,0xfcc7,0x0196,0xfc6c,0x01b2,0xfc0f,0x01ce,0xfbb0,0x01e9,0xfb50,0x0204,0xfaee,0x021d,0xfa8b,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x01f1,0x055c,0x01d9,0x04f8,0x01c0,0x0496,0x01a6,0x0435,0x018b,0x03d5,0x0170,0x0378,0x0153,0x031b,0x0136,0x02c1,0x0117,0x0269,0x00f8,0x0213,0x00d8,0x01bf,0x00b7,0x016d,0x0094,0x011e,0x0071,0x00d2,0x004c,0x0089,0x0027,0x0043,000000,000000,0xffd9,0xffc1,0xffb0,0xff86,0xff86,0xff4f,0xff5b,0xff1d,0xff30,0xfeef,0xff04,0xfec7,0xfed7,0xfea3,0xfea9,0xfe86,0xfe7b,0xfe6e,0xfe4e,0xfe5d,0xfe20,0xfe52,0xfdf3,0xfe4d,0xfdc7,0xfe50,0xfd9c,0xfe5a,0xfd73,0xfe6a,0xfd4c,0xfe82,0xfd28,0xfea0,0xfd07,0xfec5,0xfcea,0xfef0,0xfcd2,0xff1f,0xfcbe,0xff53,0xfcb0,0xff8b,0xfca7,0xffc5,0xfca4,000000,0xfca7,0x003b,0xfcb0,0x0075,0xfcbe,0x00ad,0xfcd2,0x00e1,0xfcea,0x0110,0xfd07,0x013b,0xfd28,0x0160,0xfd4c,0x017e,0xfd73,0x0196,0xfd9c,0x01a6,0xfdc7,0x01b0,0xfdf3,0x01b3,0xfe20,0x01ae,0xfe4e,0x01a3,0xfe7b,0x0192,0xfea9,0x017a,0xfed7,0x015d,0xff04,0x0139,0xff30,0x0111,0xff5b,0x00e3,0xff86,0x00b1,0xffb0,0x007a,0xffd9,0x003f,000000,000000,0x0027,0xffbd,0x004c,0xff77,0x0071,0xff2e,0x0094,0xfee2,0x00b7,0xfe93,0x00d8,0xfe41,0x00f8,0xfded,0x0117,0xfd97,0x0136,0xfd3f,0x0153,0xfce5,0x0170,0xfc88,0x018b,0xfc2b,0x01a6,0xfbcb,0x01c0,0xfb6a,0x01d9,0xfb08,0x01f1,0xfaa4,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x01c7,0x0545,0x01b0,0x04e0,0x0198,0x047d,0x0180,0x041b,0x0166,0x03bb,0x014c,0x035c,0x0131,0x02ff,0x0114,0x02a4,0x00f7,0x024b,0x00d9,0x01f3,0x00bb,0x019f,0x009b,0x014c,0x007a,0x00fc,0x0057,0x00af,0x0034,0x0065,0x0010,0x001d,0xffeb,0xffda,0xffc4,0xff9a,0xff9c,0xff5e,0xff74,0xff26,0xff4a,0xfef2,0xff1f,0xfec4,0xfef4,0xfe9a,0xfec7,0xfe76,0xfe9a,0xfe58,0xfe6d,0xfe40,0xfe3f,0xfe2f,0xfe12,0xfe24,0xfde5,0xfe21,0xfdb8,0xfe24,0xfd8d,0xfe2f,0xfd63,0xfe42,0xfd3b,0xfe5c,0xfd17,0xfe7e,0xfcf5,0xfea6,0xfcd7,0xfed5,0xfcbe,0xff09,0xfcaa,0xff42,0xfc9b,0xff7f,0xfc92,0xffbf,0xfc8f,000000,0xfc92,0x0041,0xfc9b,0x0081,0xfcaa,0x00be,0xfcbe,0x00f7,0xfcd7,0x012b,0xfcf5,0x015a,0xfd17,0x0182,0xfd3b,0x01a4,0xfd63,0x01be,0xfd8d,0x01d1,0xfdb8,0x01dc,0xfde5,0x01df,0xfe12,0x01dc,0xfe3f,0x01d1,0xfe6d,0x01c0,0xfe9a,0x01a8,0xfec7,0x018a,0xfef4,0x0166,0xff1f,0x013c,0xff4a,0x010e,0xff74,0x00da,0xff9c,0x00a2,0xffc4,0x0066,0xffeb,0x0026,0x0010,0xffe3,0x0034,0xff9b,0x0057,0xff51,0x007a,0xff04,0x009b,0xfeb4,0x00bb,0xfe61,0x00d9,0xfe0d,0x00f7,0xfdb5,0x0114,0xfd5c,0x0131,0xfd01,0x014c,0xfca4,0x0166,0xfc45,0x0180,0xfbe5,0x0198,0xfb83,0x01b0,0xfb20,0x01c7,0xfabb,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x019f,0x052f,0x0189,0x04c9,0x0172,0x0465,0x015b,0x0403,0x0143,0x03a2,0x012a,0x0342,0x0110,0x02e4,0x00f5,0x0288,0x00da,0x022e,0x00bd,0x01d6,0x00a0,0x0180,0x0081,0x012c,0x0062,0x00db,0x0041,0x008d,0x001f,0x0041,0xfffd,0xfff9,0xffd9,0xffb4,0xffb4,0xff73,0xff8d,0xff36,0xff66,0xfefd,0xff3d,0xfec9,0xff14,0xfe99,0xfee9,0xfe6f,0xfebe,0xfe4a,0xfe92,0xfe2b,0xfe65,0xfe13,0xfe38,0xfe01,0xfe0b,0xfdf7,0xfdde,0xfdf4,0xfdb1,0xfdf8,0xfd86,0xfe05,0xfd5c,0xfe19,0xfd34,0xfe36,0xfd0e,0xfe5a,0xfcec,0xfe86,0xfcce,0xfeb9,0xfcb4,0xfef2,0xfc9f,0xff30,0xfc90,0xff73,0xfc87,0xffb9,0xfc84,000000,0xfc87,0x0047,0xfc90,0x008d,0xfc9f,0x00d0,0xfcb4,0x010e,0xfcce,0x0147,0xfcec,0x017a,0xfd0e,0x01a6,0xfd34,0x01ca,0xfd5c,0x01e7,0xfd86,0x01fb,0xfdb1,0x0208,0xfdde,0x020c,0xfe0b,0x0209,0xfe38,0x01ff,0xfe65,0x01ed,0xfe92,0x01d5,0xfebe,0x01b6,0xfee9,0x0191,0xff14,0x0167,0xff3d,0x0137,0xff66,0x0103,0xff8d,0x00ca,0xffb4,0x008d,0xffd9,0x004c,0xfffd,0x0007,0x001f,0xffbf,0x0041,0xff73,0x0062,0xff25,0x0081,0xfed4,0x00a0,0xfe80,0x00bd,0xfe2a,0x00da,0xfdd2,0x00f5,0xfd78,0x0110,0xfd1c,0x012a,0xfcbe,0x0143,0xfc5e,0x015b,0xfbfd,0x0172,0xfb9b,0x0189,0xfb37,0x019f,0xfad1,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x0177,0x051a,0x0163,0x04b4,0x014e,0x044f,0x0138,0x03ec,0x0121,0x038a,0x010a,0x0329,0x00f2,0x02cb,0x00d9,0x026e,0x00bf,0x0213,0x00a4,0x01ba,0x0088,0x0163,0x006b,0x010e,0x004d,0x00bc,0x002e,0x006d,0x000e,0x0020,0xffed,0xffd7,0xffcb,0xff91,0xffa7,0xff4e,0xff83,0xff10,0xff5d,0xfed6,0xff36,0xfea0,0xff0e,0xfe70,0xfee5,0xfe45,0xfebb,0xfe1f,0xfe90,0xfdff,0xfe64,0xfde6,0xfe38,0xfdd4,0xfe0b,0xfdca,0xfddf,0xfdc7,0xfdb3,0xfdcc,0xfd87,0xfdda,0xfd5d,0xfdf0,0xfd35,0xfe0f,0xfd0f,0xfe36,0xfced,0xfe65,0xfcce,0xfe9c,0xfcb4,0xfeda,0xfc9f,0xff1e,0xfc8f,0xff67,0xfc86,0xffb3,0xfc82,000000,0xfc86,0x004d,0xfc8f,0x0099,0xfc9f,0x00e2,0xfcb4,0x0126,0xfcce,0x0164,0xfced,0x019b,0xfd0f,0x01ca,0xfd35,0x01f1,0xfd5d,0x0210,0xfd87,0x0226,0xfdb3,0x0234,0xfddf,0x0239,0xfe0b,0x0236,0xfe38,0x022c,0xfe64,0x021a,0xfe90,0x0201,0xfebb,0x01e1,0xfee5,0x01bb,0xff0e,0x0190,0xff36,0x0160,0xff5d,0x012a,0xff83,0x00f0,0xffa7,0x00b2,0xffcb,0x006f,0xffed,0x0029,0x000e,0xffe0,0x002e,0xff93,0x004d,0xff44,0x006b,0xfef2,0x0088,0xfe9d,0x00a4,0xfe46,0x00bf,0xfded,0x00d9,0xfd92,0x00f2,0xfd35,0x010a,0xfcd7,0x0121,0xfc76,0x0138,0xfc14,0x014e,0xfbb1,0x0163,0xfb4c,0x0177,0xfae6,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x0152,0x0506,0x013f,0x04a0,0x012b,0x043a,0x0117,0x03d6,0x0102,0x0374,0x00ec,0x0312,0x00d5,0x02b3,0x00be,0x0255,0x00a6,0x01f9,0x008d,0x019f,0x0072,0x0147,0x0057,0x00f2,0x003b,0x009e,0x001e,0x004e,000000,000000,0xffe1,0xffb6,0xffc0,0xff6f,0xff9f,0xff2b,0xff7c,0xfeec,0xff58,0xfeb1,0xff33,0xfe7a,0xff0d,0xfe48,0xfee6,0xfe1c,0xfebd,0xfdf5,0xfe94,0xfdd5,0xfe69,0xfdbb,0xfe3e,0xfda9,0xfe13,0xfd9e,0xfde8,0xfd9b,0xfdbc,0xfda1,0xfd91,0xfdaf,0xfd68,0xfdc7,0xfd40,0xfde8,0xfd1a,0xfe12,0xfcf8,0xfe44,0xfcd9,0xfe80,0xfcbe,0xfec2,0xfca9,0xff0c,0xfc99,0xff5a,0xfc90,0xffac,0xfc8c,000000,0xfc90,0x0054,0xfc99,0x00a6,0xfca9,0x00f4,0xfcbe,0x013e,0xfcd9,0x0180,0xfcf8,0x01bc,0xfd1a,0x01ee,0xfd40,0x0218,0xfd68,0x0239,0xfd91,0x0251,0xfdbc,0x025f,0xfde8,0x0265,0xfe13,0x0262,0xfe3e,0x0257,0xfe69,0x0245,0xfe94,0x022b,0xfebd,0x020b,0xfee6,0x01e4,0xff0d,0x01b8,0xff33,0x0186,0xff58,0x014f,0xff7c,0x0114,0xff9f,0x00d5,0xffc0,0x0091,0xffe1,0x004a,000000,000000,0x001e,0xffb2,0x003b,0xff62,0x0057,0xff0e,0x0072,0xfeb9,0x008d,0xfe61,0x00a6,0xfe07,0x00be,0xfdab,0x00d5,0xfd4d,0x00ec,0xfcee,0x0102,0xfc8c,0x0117,0xfc2a,0x012b,0xfbc6,0x013f,0xfb60,0x0152,0xfafa,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x012d,0x04f5,0x011c,0x048d,0x010a,0x0427,0x00f7,0x03c2,0x00e4,0x035f,0x00d0,0x02fd,0x00bb,0x029d,0x00a5,0x023e,0x008f,0x01e1,0x0078,0x0186,0x005f,0x012e,0x0046,0x00d7,0x002c,0x0083,0x0011,0x0031,0xfff5,0xffe3,0xffd8,0xff97,0xffba,0xff4f,0xff9b,0xff0a,0xff7a,0xfeca,0xff58,0xfe8d,0xff35,0xfe55,0xff11,0xfe22,0xfeec,0xfdf5,0xfec6,0xfdcd,0xfe9e,0xfdac,0xfe76,0xfd91,0xfe4d,0xfd7e,0xfe23,0xfd73,0xfdf9,0xfd70,0xfdcf,0xfd76,0xfda5,0xfd85,0xfd7c,0xfd9e,0xfd55,0xfdc1,0xfd30,0xfded,0xfd0e,0xfe24,0xfcef,0xfe63,0xfcd5,0xfeaa,0xfcbf,0xfef9,0xfcb0,0xff4d,0xfca6,0xffa6,0xfca3,000000,0xfca6,0x005a,0xfcb0,0x00b3,0xfcbf,0x0107,0xfcd5,0x0156,0xfcef,0x019d,0xfd0e,0x01dc,0xfd30,0x0213,0xfd55,0x023f,0xfd7c,0x0262,0xfda5,0x027b,0xfdcf,0x028a,0xfdf9,0x0290,0xfe23,0x028d,0xfe4d,0x0282,0xfe76,0x026f,0xfe9e,0x0254,0xfec6,0x0233,0xfeec,0x020b,0xff11,0x01de,0xff35,0x01ab,0xff58,0x0173,0xff7a,0x0136,0xff9b,0x00f6,0xffba,0x00b1,0xffd8,0x0069,0xfff5,0x001d,0x0011,0xffcf,0x002c,0xff7d,0x0046,0xff29,0x005f,0xfed2,0x0078,0xfe7a,0x008f,0xfe1f,0x00a5,0xfdc2,0x00bb,0xfd63,0x00d0,0xfd03,0x00e4,0xfca1,0x00f7,0xfc3e,0x010a,0xfbd9,0x011c,0xfb73,0x012d,0xfb0b,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x010a,0x04e4,0x00fa,0x047c,0x00ea,0x0416,0x00d9,0x03b0,0x00c7,0x034c,0x00b5,0x02ea,0x00a2,0x0289,0x008e,0x0229,0x007a,0x01cb,0x0065,0x0170,0x004f,0x0116,0x0038,0x00be,0x0020,0x0069,0x0007,0x0017,0xffed,0xffc7,0xffd3,0xff7b,0xffb7,0xff31,0xff9a,0xfeec,0xff7c,0xfeaa,0xff5c,0xfe6c,0xff3c,0xfe33,0xff1a,0xfdff,0xfef8,0xfdd0,0xfed4,0xfda7,0xfeaf,0xfd85,0xfe89,0xfd6a,0xfe62,0xfd56,0xfe3a,0xfd4a,0xfe12,0xfd47,0xfdea,0xfd4d,0xfdc2,0xfd5d,0xfd9b,0xfd77,0xfd75,0xfd9b,0xfd51,0xfdca,0xfd2f,0xfe03,0xfd11,0xfe47,0xfcf8,0xfe93,0xfce3,0xfee7,0xfcd3,0xff41,0xfcca,0xff9f,0xfcc7,000000,0xfcca,0x0061,0xfcd3,0x00bf,0xfce3,0x0119,0xfcf8,0x016d,0xfd11,0x01b9,0xfd2f,0x01fd,0xfd51,0x0236,0xfd75,0x0265,0xfd9b,0x0289,0xfdc2,0x02a3,0xfdea,0x02b3,0xfe12,0x02b9,0xfe3a,0x02b6,0xfe62,0x02aa,0xfe89,0x0296,0xfeaf,0x027b,0xfed4,0x0259,0xfef8,0x0230,0xff1a,0x0201,0xff3c,0x01cd,0xff5c,0x0194,0xff7c,0x0156,0xff9a,0x0114,0xffb7,0x00cf,0xffd3,0x0085,0xffed,0x0039,0x0007,0xffe9,0x0020,0xff97,0x0038,0xff42,0x004f,0xfeea,0x0065,0xfe90,0x007a,0xfe35,0x008e,0xfdd7,0x00a2,0xfd77,0x00b5,0xfd16,0x00c7,0xfcb4,0x00d9,0xfc50,0x00ea,0xfbea,0x00fa,0xfb84,0x010a,0xfb1c,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x00e8,0x04d6,0x00da,0x046d,0x00cb,0x0406,0x00bc,0x03a0,0x00ac,0x033b,0x009c,0x02d8,0x008b,0x0276,0x0079,0x0216,0x0067,0x01b8,0x0054,0x015b,0x0040,0x0101,0x002c,0x00a8,0x0016,0x0052,000000,0xffff,0xffe8,0xffae,0xffd0,0xff61,0xffb7,0xff16,0xff9d,0xfecf,0xff81,0xfe8c,0xff65,0xfe4e,0xff47,0xfe13,0xff29,0xfdde,0xff09,0xfdae,0xfee8,0xfd84,0xfec5,0xfd61,0xfea2,0xfd45,0xfe7e,0xfd30,0xfe59,0xfd23,0xfe34,0xfd20,0xfe0e,0xfd26,0xfde9,0xfd36,0xfdc4,0xfd51,0xfd9f,0xfd77,0xfd7d,0xfda8,0xfd5d,0xfde4,0xfd41,0xfe2b,0xfd28,0xfe7c,0xfd14,0xfed5,0xfd05,0xff35,0xfcfc,0xff99,0xfcf9,000000,0xfcfc,0x0067,0xfd05,0x00cb,0xfd14,0x012b,0xfd28,0x0184,0xfd41,0x01d5,0xfd5d,0x021c,0xfd7d,0x0258,0xfd9f,0x0289,0xfdc4,0x02af,0xfde9,0x02ca,0xfe0e,0x02da,0xfe34,0x02e0,0xfe59,0x02dd,0xfe7e,0x02d0,0xfea2,0x02bb,0xfec5,0x029f,0xfee8,0x027c,0xff09,0x0252,0xff29,0x0222,0xff47,0x01ed,0xff65,0x01b2,0xff81,0x0174,0xff9d,0x0131,0xffb7,0x00ea,0xffd0,0x009f,0xffe8,0x0052,000000,0x0001,0x0016,0xffae,0x002c,0xff58,0x0040,0xfeff,0x0054,0xfea5,0x0067,0xfe48,0x0079,0xfdea,0x008b,0xfd8a,0x009c,0xfd28,0x00ac,0xfcc5,0x00bc,0xfc60,0x00cb,0xfbfa,0x00da,0xfb93,0x00e8,0xfb2a,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x00c7,0x04c9,0x00bb,0x0460,0x00ae,0x03f8,0x00a0,0x0391,0x0093,0x032c,0x0084,0x02c8,0x0075,0x0266,0x0066,0x0205,0x0056,0x01a6,0x0045,0x0149,0x0033,0x00ee,0x0021,0x0094,0x000e,0x003e,0xfffb,0xffe9,0xffe6,0xff98,0xffd0,0xff49,0xffba,0xfefe,0xffa3,0xfeb6,0xff8a,0xfe72,0xff71,0xfe32,0xff57,0xfdf7,0xff3b,0xfdc0,0xff1e,0xfd8f,0xff01,0xfd64,0xfee2,0xfd40,0xfec2,0xfd23,0xfea1,0xfd0d,0xfe80,0xfd00,0xfe5e,0xfcfc,0xfe3b,0xfd02,0xfe19,0xfd12,0xfdf6,0xfd2e,0xfdd5,0xfd55,0xfdb5,0xfd88,0xfd97,0xfdc7,0xfd7d,0xfe11,0xfd66,0xfe66,0xfd53,0xfec4,0xfd46,0xff29,0xfd3d,0xff93,0xfd3a,000000,0xfd3d,0x006d,0xfd46,0x00d7,0xfd53,0x013c,0xfd66,0x019a,0xfd7d,0x01ef,0xfd97,0x0239,0xfdb5,0x0278,0xfdd5,0x02ab,0xfdf6,0x02d2,0xfe19,0x02ee,0xfe3b,0x02fe,0xfe5e,0x0304,0xfe80,0x0300,0xfea1,0x02f3,0xfec2,0x02dd,0xfee2,0x02c0,0xff01,0x029c,0xff1e,0x0271,0xff3b,0x0240,0xff57,0x0209,0xff71,0x01ce,0xff8a,0x018e,0xffa3,0x014a,0xffba,0x0102,0xffd0,0x00b7,0xffe6,0x0068,0xfffb,0x0017,0x000e,0xffc2,0x0021,0xff6c,0x0033,0xff12,0x0045,0xfeb7,0x0056,0xfe5a,0x0066,0xfdfb,0x0075,0xfd9a,0x0084,0xfd38,0x0093,0xfcd4,0x00a0,0xfc6f,0x00ae,0xfc08,0x00bb,0xfba0,0x00c7,0xfb37,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x00a7,0x04bd,0x009c,0x0454,0x0091,0x03ec,0x0086,0x0385,0x007a,0x031f,0x006e,0x02bb,0x0061,0x0258,0x0054,0x01f6,0x0046,0x0197,0x0038,0x0139,0x0029,0x00dd,0x0019,0x0083,0x0009,0x002c,0xfff8,0xffd7,0xffe6,0xff84,0xffd3,0xff35,0xffc0,0xfee9,0xffac,0xfea0,0xff97,0xfe5b,0xff81,0xfe1a,0xff6a,0xfddd,0xff52,0xfda6,0xff39,0xfd74,0xff1f,0xfd48,0xff04,0xfd23,0xfee8,0xfd04,0xfecb,0xfcee,0xfead,0xfce0,0xfe8f,0xfcdb,0xfe70,0xfce1,0xfe52,0xfcf1,0xfe33,0xfd0d,0xfe15,0xfd36,0xfdf8,0xfd6b,0xfdde,0xfdac,0xfdc6,0xfdf9,0xfdb1,0xfe52,0xfda0,0xfeb5,0xfd94,0xff1f,0xfd8d,0xff8e,0xfd8a,000000,0xfd8d,0x0072,0xfd94,0x00e1,0xfda0,0x014b,0xfdb1,0x01ae,0xfdc6,0x0207,0xfdde,0x0254,0xfdf8,0x0295,0xfe15,0x02ca,0xfe33,0x02f3,0xfe52,0x030f,0xfe70,0x031f,0xfe8f,0x0325,0xfead,0x0320,0xfecb,0x0312,0xfee8,0x02fc,0xff04,0x02dd,0xff1f,0x02b8,0xff39,0x028c,0xff52,0x025a,0xff6a,0x0223,0xff81,0x01e6,0xff97,0x01a5,0xffac,0x0160,0xffc0,0x0117,0xffd3,0x00cb,0xffe6,0x007c,0xfff8,0x0029,0x0009,0xffd4,0x0019,0xff7d,0x0029,0xff23,0x0038,0xfec7,0x0046,0xfe69,0x0054,0xfe0a,0x0061,0xfda8,0x006e,0xfd45,0x007a,0xfce1,0x0086,0xfc7b,0x0091,0xfc14,0x009c,0xfbac,0x00a7,0xfb43,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x0087,0x04b4,0x007f,0x044a,0x0076,0x03e2,0x006c,0x037a,0x0063,0x0314,0x0058,0x02af,0x004e,0x024c,0x0043,0x01ea,0x0037,0x018a,0x002b,0x012b,0x001f,0x00cf,0x0012,0x0075,0x0005,0x001c,0xfff6,0xffc7,0xffe8,0xff74,0xffd8,0xff23,0xffc8,0xfed6,0xffb7,0xfe8d,0xffa6,0xfe47,0xff93,0xfe05,0xff80,0xfdc8,0xff6c,0xfd90,0xff57,0xfd5d,0xff41,0xfd30,0xff2b,0xfd09,0xff13,0xfcea,0xfefb,0xfcd3,0xfee1,0xfcc4,0xfec8,0xfcbf,0xfead,0xfcc4,0xfe93,0xfcd5,0xfe79,0xfcf1,0xfe5f,0xfd1a,0xfe46,0xfd51,0xfe2f,0xfd94,0xfe1b,0xfde5,0xfe09,0xfe41,0xfdfb,0xfea7,0xfdf1,0xff16,0xfdea,0xff89,0xfde8,000000,0xfdea,0x0077,0xfdf1,0x00ea,0xfdfb,0x0159,0xfe09,0x01bf,0xfe1b,0x021b,0xfe2f,0x026c,0xfe46,0x02af,0xfe5f,0x02e6,0xfe79,0x030f,0xfe93,0x032b,0xfead,0x033c,0xfec8,0x0341,0xfee1,0x033c,0xfefb,0x032d,0xff13,0x0316,0xff2b,0x02f7,0xff41,0x02d0,0xff57,0x02a3,0xff6c,0x0270,0xff80,0x0238,0xff93,0x01fb,0xffa6,0x01b9,0xffb7,0x0173,0xffc8,0x012a,0xffd8,0x00dd,0xffe8,0x008c,0xfff6,0x0039,0x0005,0xffe4,0x0012,0xff8b,0x001f,0xff31,0x002b,0xfed5,0x0037,0xfe76,0x0043,0xfe16,0x004e,0xfdb4,0x0058,0xfd51,0x0063,0xfcec,0x006c,0xfc86,0x0076,0xfc1e,0x007f,0xfbb6,0x0087,0xfb4c,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x0069,0x04ac,0x0062,0x0442,0x005b,0x03d9,0x0053,0x0372,0x004c,0x030b,0x0044,0x02a6,0x003c,0x0242,0x0033,0x01e0,0x002a,0x017f,0x0021,0x0121,0x0017,0x00c4,0x000d,0x0069,0x0002,0x0010,0xfff7,0xffba,0xffeb,0xff66,0xffdf,0xff15,0xffd2,0xfec8,0xffc5,0xfe7d,0xffb7,0xfe37,0xffa9,0xfdf4,0xff9a,0xfdb6,0xff8a,0xfd7d,0xff79,0xfd49,0xff68,0xfd1c,0xff55,0xfcf4,0xff43,0xfcd4,0xff2f,0xfcbc,0xff1b,0xfcad,0xff06,0xfca7,0xfef1,0xfcac,0xfedc,0xfcbd,0xfec6,0xfcd9,0xfeb1,0xfd03,0xfe9d,0xfd3b,0xfe8b,0xfd80,0xfe7a,0xfdd3,0xfe6c,0xfe33,0xfe61,0xfe9c,0xfe59,0xff0e,0xfe54,0xff86,0xfe53,000000,0xfe54,0x007a,0xfe59,0x00f2,0xfe61,0x0164,0xfe6c,0x01cd,0xfe7a,0x022d,0xfe8b,0x0280,0xfe9d,0x02c5,0xfeb1,0x02fd,0xfec6,0x0327,0xfedc,0x0343,0xfef1,0x0354,0xff06,0x0359,0xff1b,0x0353,0xff2f,0x0344,0xff43,0x032c,0xff55,0x030c,0xff68,0x02e4,0xff79,0x02b7,0xff8a,0x0283,0xff9a,0x024a,0xffa9,0x020c,0xffb7,0x01c9,0xffc5,0x0183,0xffd2,0x0138,0xffdf,0x00eb,0xffeb,0x009a,0xfff7,0x0046,0x0002,0xfff0,0x000d,0xff97,0x0017,0xff3c,0x0021,0xfedf,0x002a,0xfe81,0x0033,0xfe20,0x003c,0xfdbe,0x0044,0xfd5a,0x004c,0xfcf5,0x0053,0xfc8e,0x005b,0xfc27,0x0062,0xfbbe,0x0069,0xfb54,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x004a,0x04a6,0x0046,0x043c,0x0040,0x03d3,0x003b,0x036b,0x0036,0x0305,0x0030,0x029f,0x002a,0x023b,0x0024,0x01d9,0x001d,0x0178,0x0017,0x0118,0x0010,0x00bb,0x0008,0x0060,0x0001,0x0007,0xfff9,0xffb0,0xfff0,0xff5c,0xffe7,0xff0b,0xffde,0xfebc,0xffd5,0xfe72,0xffcb,0xfe2b,0xffc0,0xfde7,0xffb5,0xfda9,0xffaa,0xfd6f,0xff9d,0xfd3b,0xff91,0xfd0c,0xff84,0xfce4,0xff76,0xfcc4,0xff68,0xfcab,0xff59,0xfc9b,0xff4a,0xfc95,0xff3a,0xfc9a,0xff2b,0xfcaa,0xff1b,0xfcc7,0xff0b,0xfcf1,0xfefd,0xfd2a,0xfeef,0xfd71,0xfee3,0xfdc6,0xfed9,0xfe28,0xfed1,0xfe94,0xfecb,0xff09,0xfec8,0xff83,0xfec7,000000,0xfec8,0x007d,0xfecb,0x00f7,0xfed1,0x016c,0xfed9,0x01d8,0xfee3,0x023a,0xfeef,0x028f,0xfefd,0x02d6,0xff0b,0x030f,0xff1b,0x0339,0xff2b,0x0356,0xff3a,0x0366,0xff4a,0x036b,0xff59,0x0365,0xff68,0x0355,0xff76,0x033c,0xff84,0x031c,0xff91,0x02f4,0xff9d,0x02c5,0xffaa,0x0291,0xffb5,0x0257,0xffc0,0x0219,0xffcb,0x01d5,0xffd5,0x018e,0xffde,0x0144,0xffe7,0x00f5,0xfff0,0x00a4,0xfff9,0x0050,0x0001,0xfff9,0x0008,0xffa0,0x0010,0xff45,0x0017,0xfee8,0x001d,0xfe88,0x0024,0xfe27,0x002a,0xfdc5,0x0030,0xfd61,0x0036,0xfcfb,0x003b,0xfc95,0x0040,0xfc2d,0x0046,0xfbc4,0x004a,0xfb5a,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x002c,0x04a3,0x002a,0x0438,0x0026,0x03cf,0x0023,0x0367,0x0020,0x0300,0x001d,0x029a,0x0019,0x0236,0x0015,0x01d3,0x0011,0x0172,0x000d,0x0113,0x0009,0x00b5,0x0005,0x005a,000000,000000,0xfffb,0xffa9,0xfff6,0xff55,0xfff1,0xff03,0xffeb,0xfeb5,0xffe6,0xfe6a,0xffdf,0xfe22,0xffd9,0xfddf,0xffd2,0xfda0,0xffcb,0xfd66,0xffc4,0xfd31,0xffbc,0xfd02,0xffb4,0xfcda,0xffac,0xfcb8,0xffa3,0xfc9f,0xff9a,0xfc8f,0xff91,0xfc89,0xff88,0xfc8d,0xff7e,0xfc9d,0xff74,0xfcba,0xff6b,0xfce5,0xff62,0xfd1f,0xff5a,0xfd67,0xff52,0xfdbd,0xff4c,0xfe20,0xff47,0xfe8f,0xff44,0xff05,0xff42,0xff81,0xff41,000000,0xff42,0x007f,0xff44,0x00fb,0xff47,0x0171,0xff4c,0x01e0,0xff52,0x0243,0xff5a,0x0299,0xff62,0x02e1,0xff6b,0x031b,0xff74,0x0346,0xff7e,0x0363,0xff88,0x0373,0xff91,0x0377,0xff9a,0x0371,0xffa3,0x0361,0xffac,0x0348,0xffb4,0x0326,0xffbc,0x02fe,0xffc4,0x02cf,0xffcb,0x029a,0xffd2,0x0260,0xffd9,0x0221,0xffdf,0x01de,0xffe6,0x0196,0xffeb,0x014b,0xfff1,0x00fd,0xfff6,0x00ab,0xfffb,0x0057,000000,000000,0x0005,0xffa6,0x0009,0xff4b,0x000d,0xfeed,0x0011,0xfe8e,0x0015,0xfe2d,0x0019,0xfdca,0x001d,0xfd66,0x0020,0xfd00,0x0023,0xfc99,0x0026,0xfc31,0x002a,0xfbc8,0x002c,0xfb5d,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0x000f,0x04a1,0x000e,0x0436,0x000d,0x03cd,0x000c,0x0365,0x000b,0x02fe,0x0009,0x0298,0x0008,0x0234,0x0007,0x01d1,0x0006,0x0170,0x0004,0x0110,0x0003,0x00b2,0x0001,0x0057,000000,0xfffd,0xfffe,0xffa6,0xfffd,0xff52,0xfffb,0xff00,0xfff9,0xfeb1,0xfff7,0xfe66,0xfff5,0xfe1e,0xfff3,0xfdda,0xfff1,0xfd9b,0xffee,0xfd61,0xffec,0xfd2c,0xffe9,0xfcfd,0xffe7,0xfcd4,0xffe4,0xfcb3,0xffe1,0xfc99,0xffde,0xfc89,0xffdb,0xfc82,0xffd8,0xfc87,0xffd4,0xfc97,0xffd1,0xfcb4,0xffce,0xfcdf,0xffcb,0xfd19,0xffc8,0xfd61,0xffc6,0xfdb8,0xffc4,0xfe1d,0xffc2,0xfe8c,0xffc1,0xff03,0xffc0,0xff80,0xffc0,000000,0xffc0,0x0080,0xffc1,0x00fd,0xffc2,0x0174,0xffc4,0x01e3,0xffc6,0x0248,0xffc8,0x029f,0xffcb,0x02e7,0xffce,0x0321,0xffd1,0x034c,0xffd4,0x0369,0xffd8,0x0379,0xffdb,0x037e,0xffde,0x0377,0xffe1,0x0367,0xffe4,0x034d,0xffe7,0x032c,0xffe9,0x0303,0xffec,0x02d4,0xffee,0x029f,0xfff1,0x0265,0xfff3,0x0226,0xfff5,0x01e2,0xfff7,0x019a,0xfff9,0x014f,0xfffb,0x0100,0xfffd,0x00ae,0xfffe,0x005a,000000,0x0003,0x0001,0xffa9,0x0003,0xff4e,0x0004,0xfef0,0x0006,0xfe90,0x0007,0xfe2f,0x0008,0xfdcc,0x0009,0xfd68,0x000b,0xfd02,0x000c,0xfc9b,0x000d,0xfc33,0x000e,0xfbca,0x000f,0xfb5f,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfff1,0x04a1,0xfff2,0x0436,0xfff3,0x03cd,0xfff4,0x0365,0xfff5,0x02fe,0xfff7,0x0298,0xfff8,0x0234,0xfff9,0x01d1,0xfffa,0x0170,0xfffc,0x0110,0xfffd,0x00b2,0xffff,0x0057,000000,0xfffd,0x0002,0xffa6,0x0003,0xff52,0x0005,0xff00,0x0007,0xfeb1,0x0009,0xfe66,0x000b,0xfe1e,0x000d,0xfdda,0x000f,0xfd9b,0x0012,0xfd61,0x0014,0xfd2c,0x0017,0xfcfd,0x0019,0xfcd4,0x001c,0xfcb3,0x001f,0xfc99,0x0022,0xfc89,0x0025,0xfc82,0x0028,0xfc87,0x002c,0xfc97,0x002f,0xfcb4,0x0032,0xfcdf,0x0035,0xfd19,0x0038,0xfd61,0x003a,0xfdb8,0x003c,0xfe1d,0x003e,0xfe8c,0x003f,0xff03,0x0040,0xff80,0x0040,000000,0x0040,0x0080,0x003f,0x00fd,0x003e,0x0174,0x003c,0x01e3,0x003a,0x0248,0x0038,0x029f,0x0035,0x02e7,0x0032,0x0321,0x002f,0x034c,0x002c,0x0369,0x0028,0x0379,0x0025,0x037e,0x0022,0x0377,0x001f,0x0367,0x001c,0x034d,0x0019,0x032c,0x0017,0x0303,0x0014,0x02d4,0x0012,0x029f,0x000f,0x0265,0x000d,0x0226,0x000b,0x01e2,0x0009,0x019a,0x0007,0x014f,0x0005,0x0100,0x0003,0x00ae,0x0002,0x005a,000000,0x0003,0xffff,0xffa9,0xfffd,0xff4e,0xfffc,0xfef0,0xfffa,0xfe90,0xfff9,0xfe2f,0xfff8,0xfdcc,0xfff7,0xfd68,0xfff5,0xfd02,0xfff4,0xfc9b,0xfff3,0xfc33,0xfff2,0xfbca,0xfff1,0xfb5f,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xffd4,0x04a3,0xffd6,0x0438,0xffda,0x03cf,0xffdd,0x0367,0xffe0,0x0300,0xffe3,0x029a,0xffe7,0x0236,0xffeb,0x01d3,0xffef,0x0172,0xfff3,0x0113,0xfff7,0x00b5,0xfffb,0x005a,000000,000000,0x0005,0xffa9,0x000a,0xff55,0x000f,0xff03,0x0015,0xfeb5,0x001a,0xfe6a,0x0021,0xfe22,0x0027,0xfddf,0x002e,0xfda0,0x0035,0xfd66,0x003c,0xfd31,0x0044,0xfd02,0x004c,0xfcda,0x0054,0xfcb8,0x005d,0xfc9f,0x0066,0xfc8f,0x006f,0xfc89,0x0078,0xfc8d,0x0082,0xfc9d,0x008c,0xfcba,0x0095,0xfce5,0x009e,0xfd1f,0x00a6,0xfd67,0x00ae,0xfdbd,0x00b4,0xfe20,0x00b9,0xfe8f,0x00bc,0xff05,0x00be,0xff81,0x00bf,000000,0x00be,0x007f,0x00bc,0x00fb,0x00b9,0x0171,0x00b4,0x01e0,0x00ae,0x0243,0x00a6,0x0299,0x009e,0x02e1,0x0095,0x031b,0x008c,0x0346,0x0082,0x0363,0x0078,0x0373,0x006f,0x0377,0x0066,0x0371,0x005d,0x0361,0x0054,0x0348,0x004c,0x0326,0x0044,0x02fe,0x003c,0x02cf,0x0035,0x029a,0x002e,0x0260,0x0027,0x0221,0x0021,0x01de,0x001a,0x0196,0x0015,0x014b,0x000f,0x00fd,0x000a,0x00ab,0x0005,0x0057,000000,000000,0xfffb,0xffa6,0xfff7,0xff4b,0xfff3,0xfeed,0xffef,0xfe8e,0xffeb,0xfe2d,0xffe7,0xfdca,0xffe3,0xfd66,0xffe0,0xfd00,0xffdd,0xfc99,0xffda,0xfc31,0xffd6,0xfbc8,0xffd4,0xfb5d,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xffb6,0x04a6,0xffba,0x043c,0xffc0,0x03d3,0xffc5,0x036b,0xffca,0x0305,0xffd0,0x029f,0xffd6,0x023b,0xffdc,0x01d9,0xffe3,0x0178,0xffe9,0x0118,0xfff0,0x00bb,0xfff8,0x0060,0xffff,0x0007,0x0007,0xffb0,0x0010,0xff5c,0x0019,0xff0b,0x0022,0xfebc,0x002b,0xfe72,0x0035,0xfe2b,0x0040,0xfde7,0x004b,0xfda9,0x0056,0xfd6f,0x0063,0xfd3b,0x006f,0xfd0c,0x007c,0xfce4,0x008a,0xfcc4,0x0098,0xfcab,0x00a7,0xfc9b,0x00b6,0xfc95,0x00c6,0xfc9a,0x00d5,0xfcaa,0x00e5,0xfcc7,0x00f5,0xfcf1,0x0103,0xfd2a,0x0111,0xfd71,0x011d,0xfdc6,0x0127,0xfe28,0x012f,0xfe94,0x0135,0xff09,0x0138,0xff83,0x0139,000000,0x0138,0x007d,0x0135,0x00f7,0x012f,0x016c,0x0127,0x01d8,0x011d,0x023a,0x0111,0x028f,0x0103,0x02d6,0x00f5,0x030f,0x00e5,0x0339,0x00d5,0x0356,0x00c6,0x0366,0x00b6,0x036b,0x00a7,0x0365,0x0098,0x0355,0x008a,0x033c,0x007c,0x031c,0x006f,0x02f4,0x0063,0x02c5,0x0056,0x0291,0x004b,0x0257,0x0040,0x0219,0x0035,0x01d5,0x002b,0x018e,0x0022,0x0144,0x0019,0x00f5,0x0010,0x00a4,0x0007,0x0050,0xffff,0xfff9,0xfff8,0xffa0,0xfff0,0xff45,0xffe9,0xfee8,0xffe3,0xfe88,0xffdc,0xfe27,0xffd6,0xfdc5,0xffd0,0xfd61,0xffca,0xfcfb,0xffc5,0xfc95,0xffc0,0xfc2d,0xffba,0xfbc4,0xffb6,0xfb5a,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xff97,0x04ac,0xff9e,0x0442,0xffa5,0x03d9,0xffad,0x0372,0xffb4,0x030b,0xffbc,0x02a6,0xffc4,0x0242,0xffcd,0x01e0,0xffd6,0x017f,0xffdf,0x0121,0xffe9,0x00c4,0xfff3,0x0069,0xfffe,0x0010,0x0009,0xffba,0x0015,0xff66,0x0021,0xff15,0x002e,0xfec8,0x003b,0xfe7d,0x0049,0xfe37,0x0057,0xfdf4,0x0066,0xfdb6,0x0076,0xfd7d,0x0087,0xfd49,0x0098,0xfd1c,0x00ab,0xfcf4,0x00bd,0xfcd4,0x00d1,0xfcbc,0x00e5,0xfcad,0x00fa,0xfca7,0x010f,0xfcac,0x0124,0xfcbd,0x013a,0xfcd9,0x014f,0xfd03,0x0163,0xfd3b,0x0175,0xfd80,0x0186,0xfdd3,0x0194,0xfe33,0x019f,0xfe9c,0x01a7,0xff0e,0x01ac,0xff86,0x01ad,000000,0x01ac,0x007a,0x01a7,0x00f2,0x019f,0x0164,0x0194,0x01cd,0x0186,0x022d,0x0175,0x0280,0x0163,0x02c5,0x014f,0x02fd,0x013a,0x0327,0x0124,0x0343,0x010f,0x0354,0x00fa,0x0359,0x00e5,0x0353,0x00d1,0x0344,0x00bd,0x032c,0x00ab,0x030c,0x0098,0x02e4,0x0087,0x02b7,0x0076,0x0283,0x0066,0x024a,0x0057,0x020c,0x0049,0x01c9,0x003b,0x0183,0x002e,0x0138,0x0021,0x00eb,0x0015,0x009a,0x0009,0x0046,0xfffe,0xfff0,0xfff3,0xff97,0xffe9,0xff3c,0xffdf,0xfedf,0xffd6,0xfe81,0xffcd,0xfe20,0xffc4,0xfdbe,0xffbc,0xfd5a,0xffb4,0xfcf5,0xffad,0xfc8e,0xffa5,0xfc27,0xff9e,0xfbbe,0xff97,0xfb54,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xff79,0x04b4,0xff81,0x044a,0xff8a,0x03e2,0xff94,0x037a,0xff9d,0x0314,0xffa8,0x02af,0xffb2,0x024c,0xffbd,0x01ea,0xffc9,0x018a,0xffd5,0x012b,0xffe1,0x00cf,0xffee,0x0075,0xfffb,0x001c,0x000a,0xffc7,0x0018,0xff74,0x0028,0xff23,0x0038,0xfed6,0x0049,0xfe8d,0x005a,0xfe47,0x006d,0xfe05,0x0080,0xfdc8,0x0094,0xfd90,0x00a9,0xfd5d,0x00bf,0xfd30,0x00d5,0xfd09,0x00ed,0xfcea,0x0105,0xfcd3,0x011f,0xfcc4,0x0138,0xfcbf,0x0153,0xfcc4,0x016d,0xfcd5,0x0187,0xfcf1,0x01a1,0xfd1a,0x01ba,0xfd51,0x01d1,0xfd94,0x01e5,0xfde5,0x01f7,0xfe41,0x0205,0xfea7,0x020f,0xff16,0x0216,0xff89,0x0218,000000,0x0216,0x0077,0x020f,0x00ea,0x0205,0x0159,0x01f7,0x01bf,0x01e5,0x021b,0x01d1,0x026c,0x01ba,0x02af,0x01a1,0x02e6,0x0187,0x030f,0x016d,0x032b,0x0153,0x033c,0x0138,0x0341,0x011f,0x033c,0x0105,0x032d,0x00ed,0x0316,0x00d5,0x02f7,0x00bf,0x02d0,0x00a9,0x02a3,0x0094,0x0270,0x0080,0x0238,0x006d,0x01fb,0x005a,0x01b9,0x0049,0x0173,0x0038,0x012a,0x0028,0x00dd,0x0018,0x008c,0x000a,0x0039,0xfffb,0xffe4,0xffee,0xff8b,0xffe1,0xff31,0xffd5,0xfed5,0xffc9,0xfe76,0xffbd,0xfe16,0xffb2,0xfdb4,0xffa8,0xfd51,0xff9d,0xfcec,0xff94,0xfc86,0xff8a,0xfc1e,0xff81,0xfbb6,0xff79,0xfb4c,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xff59,0x04bd,0xff64,0x0454,0xff6f,0x03ec,0xff7a,0x0385,0xff86,0x031f,0xff92,0x02bb,0xff9f,0x0258,0xffac,0x01f6,0xffba,0x0197,0xffc8,0x0139,0xffd7,0x00dd,0xffe7,0x0083,0xfff7,0x002c,0x0008,0xffd7,0x001a,0xff84,0x002d,0xff35,0x0040,0xfee9,0x0054,0xfea0,0x0069,0xfe5b,0x007f,0xfe1a,0x0096,0xfddd,0x00ae,0xfda6,0x00c7,0xfd74,0x00e1,0xfd48,0x00fc,0xfd23,0x0118,0xfd04,0x0135,0xfcee,0x0153,0xfce0,0x0171,0xfcdb,0x0190,0xfce1,0x01ae,0xfcf1,0x01cd,0xfd0d,0x01eb,0xfd36,0x0208,0xfd6b,0x0222,0xfdac,0x023a,0xfdf9,0x024f,0xfe52,0x0260,0xfeb5,0x026c,0xff1f,0x0273,0xff8e,0x0276,000000,0x0273,0x0072,0x026c,0x00e1,0x0260,0x014b,0x024f,0x01ae,0x023a,0x0207,0x0222,0x0254,0x0208,0x0295,0x01eb,0x02ca,0x01cd,0x02f3,0x01ae,0x030f,0x0190,0x031f,0x0171,0x0325,0x0153,0x0320,0x0135,0x0312,0x0118,0x02fc,0x00fc,0x02dd,0x00e1,0x02b8,0x00c7,0x028c,0x00ae,0x025a,0x0096,0x0223,0x007f,0x01e6,0x0069,0x01a5,0x0054,0x0160,0x0040,0x0117,0x002d,0x00cb,0x001a,0x007c,0x0008,0x0029,0xfff7,0xffd4,0xffe7,0xff7d,0xffd7,0xff23,0xffc8,0xfec7,0xffba,0xfe69,0xffac,0xfe0a,0xff9f,0xfda8,0xff92,0xfd45,0xff86,0xfce1,0xff7a,0xfc7b,0xff6f,0xfc14,0xff64,0xfbac,0xff59,0xfb43,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xff39,0x04c9,0xff45,0x0460,0xff52,0x03f8,0xff60,0x0391,0xff6d,0x032c,0xff7c,0x02c8,0xff8b,0x0266,0xff9a,0x0205,0xffaa,0x01a6,0xffbb,0x0149,0xffcd,0x00ee,0xffdf,0x0094,0xfff2,0x003e,0x0005,0xffe9,0x001a,0xff98,0x0030,0xff49,0x0046,0xfefe,0x005d,0xfeb6,0x0076,0xfe72,0x008f,0xfe32,0x00a9,0xfdf7,0x00c5,0xfdc0,0x00e2,0xfd8f,0x00ff,0xfd64,0x011e,0xfd40,0x013e,0xfd23,0x015f,0xfd0d,0x0180,0xfd00,0x01a2,0xfcfc,0x01c5,0xfd02,0x01e7,0xfd12,0x020a,0xfd2e,0x022b,0xfd55,0x024b,0xfd88,0x0269,0xfdc7,0x0283,0xfe11,0x029a,0xfe66,0x02ad,0xfec4,0x02ba,0xff29,0x02c3,0xff93,0x02c6,000000,0x02c3,0x006d,0x02ba,0x00d7,0x02ad,0x013c,0x029a,0x019a,0x0283,0x01ef,0x0269,0x0239,0x024b,0x0278,0x022b,0x02ab,0x020a,0x02d2,0x01e7,0x02ee,0x01c5,0x02fe,0x01a2,0x0304,0x0180,0x0300,0x015f,0x02f3,0x013e,0x02dd,0x011e,0x02c0,0x00ff,0x029c,0x00e2,0x0271,0x00c5,0x0240,0x00a9,0x0209,0x008f,0x01ce,0x0076,0x018e,0x005d,0x014a,0x0046,0x0102,0x0030,0x00b7,0x001a,0x0068,0x0005,0x0017,0xfff2,0xffc2,0xffdf,0xff6c,0xffcd,0xff12,0xffbb,0xfeb7,0xffaa,0xfe5a,0xff9a,0xfdfb,0xff8b,0xfd9a,0xff7c,0xfd38,0xff6d,0xfcd4,0xff60,0xfc6f,0xff52,0xfc08,0xff45,0xfba0,0xff39,0xfb37,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xff18,0x04d6,0xff26,0x046d,0xff35,0x0406,0xff44,0x03a0,0xff54,0x033b,0xff64,0x02d8,0xff75,0x0276,0xff87,0x0216,0xff99,0x01b8,0xffac,0x015b,0xffc0,0x0101,0xffd4,0x00a8,0xffea,0x0052,000000,0xffff,0x0018,0xffae,0x0030,0xff61,0x0049,0xff16,0x0063,0xfecf,0x007f,0xfe8c,0x009b,0xfe4e,0x00b9,0xfe13,0x00d7,0xfdde,0x00f7,0xfdae,0x0118,0xfd84,0x013b,0xfd61,0x015e,0xfd45,0x0182,0xfd30,0x01a7,0xfd23,0x01cc,0xfd20,0x01f2,0xfd26,0x0217,0xfd36,0x023c,0xfd51,0x0261,0xfd77,0x0283,0xfda8,0x02a3,0xfde4,0x02bf,0xfe2b,0x02d8,0xfe7c,0x02ec,0xfed5,0x02fb,0xff35,0x0304,0xff99,0x0307,000000,0x0304,0x0067,0x02fb,0x00cb,0x02ec,0x012b,0x02d8,0x0184,0x02bf,0x01d5,0x02a3,0x021c,0x0283,0x0258,0x0261,0x0289,0x023c,0x02af,0x0217,0x02ca,0x01f2,0x02da,0x01cc,0x02e0,0x01a7,0x02dd,0x0182,0x02d0,0x015e,0x02bb,0x013b,0x029f,0x0118,0x027c,0x00f7,0x0252,0x00d7,0x0222,0x00b9,0x01ed,0x009b,0x01b2,0x007f,0x0174,0x0063,0x0131,0x0049,0x00ea,0x0030,0x009f,0x0018,0x0052,000000,0x0001,0xffea,0xffae,0xffd4,0xff58,0xffc0,0xfeff,0xffac,0xfea5,0xff99,0xfe48,0xff87,0xfdea,0xff75,0xfd8a,0xff64,0xfd28,0xff54,0xfcc5,0xff44,0xfc60,0xff35,0xfbfa,0xff26,0xfb93,0xff18,0xfb2a,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfef6,0x04e4,0xff06,0x047c,0xff16,0x0416,0xff27,0x03b0,0xff39,0x034c,0xff4b,0x02ea,0xff5e,0x0289,0xff72,0x0229,0xff86,0x01cb,0xff9b,0x0170,0xffb1,0x0116,0xffc8,0x00be,0xffe0,0x0069,0xfff9,0x0017,0x0013,0xffc7,0x002d,0xff7b,0x0049,0xff31,0x0066,0xfeec,0x0084,0xfeaa,0x00a4,0xfe6c,0x00c4,0xfe33,0x00e6,0xfdff,0x0108,0xfdd0,0x012c,0xfda7,0x0151,0xfd85,0x0177,0xfd6a,0x019e,0xfd56,0x01c6,0xfd4a,0x01ee,0xfd47,0x0216,0xfd4d,0x023e,0xfd5d,0x0265,0xfd77,0x028b,0xfd9b,0x02af,0xfdca,0x02d1,0xfe03,0x02ef,0xfe47,0x0308,0xfe93,0x031d,0xfee7,0x032d,0xff41,0x0336,0xff9f,0x0339,000000,0x0336,0x0061,0x032d,0x00bf,0x031d,0x0119,0x0308,0x016d,0x02ef,0x01b9,0x02d1,0x01fd,0x02af,0x0236,0x028b,0x0265,0x0265,0x0289,0x023e,0x02a3,0x0216,0x02b3,0x01ee,0x02b9,0x01c6,0x02b6,0x019e,0x02aa,0x0177,0x0296,0x0151,0x027b,0x012c,0x0259,0x0108,0x0230,0x00e6,0x0201,0x00c4,0x01cd,0x00a4,0x0194,0x0084,0x0156,0x0066,0x0114,0x0049,0x00cf,0x002d,0x0085,0x0013,0x0039,0xfff9,0xffe9,0xffe0,0xff97,0xffc8,0xff42,0xffb1,0xfeea,0xff9b,0xfe90,0xff86,0xfe35,0xff72,0xfdd7,0xff5e,0xfd77,0xff4b,0xfd16,0xff39,0xfcb4,0xff27,0xfc50,0xff16,0xfbea,0xff06,0xfb84,0xfef6,0xfb1c,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfed3,0x04f5,0xfee4,0x048d,0xfef6,0x0427,0xff09,0x03c2,0xff1c,0x035f,0xff30,0x02fd,0xff45,0x029d,0xff5b,0x023e,0xff71,0x01e1,0xff88,0x0186,0xffa1,0x012e,0xffba,0x00d7,0xffd4,0x0083,0xffef,0x0031,0x000b,0xffe3,0x0028,0xff97,0x0046,0xff4f,0x0065,0xff0a,0x0086,0xfeca,0x00a8,0xfe8d,0x00cb,0xfe55,0x00ef,0xfe22,0x0114,0xfdf5,0x013a,0xfdcd,0x0162,0xfdac,0x018a,0xfd91,0x01b3,0xfd7e,0x01dd,0xfd73,0x0207,0xfd70,0x0231,0xfd76,0x025b,0xfd85,0x0284,0xfd9e,0x02ab,0xfdc1,0x02d0,0xfded,0x02f2,0xfe24,0x0311,0xfe63,0x032b,0xfeaa,0x0341,0xfef9,0x0350,0xff4d,0x035a,0xffa6,0x035d,000000,0x035a,0x005a,0x0350,0x00b3,0x0341,0x0107,0x032b,0x0156,0x0311,0x019d,0x02f2,0x01dc,0x02d0,0x0213,0x02ab,0x023f,0x0284,0x0262,0x025b,0x027b,0x0231,0x028a,0x0207,0x0290,0x01dd,0x028d,0x01b3,0x0282,0x018a,0x026f,0x0162,0x0254,0x013a,0x0233,0x0114,0x020b,0x00ef,0x01de,0x00cb,0x01ab,0x00a8,0x0173,0x0086,0x0136,0x0065,0x00f6,0x0046,0x00b1,0x0028,0x0069,0x000b,0x001d,0xffef,0xffcf,0xffd4,0xff7d,0xffba,0xff29,0xffa1,0xfed2,0xff88,0xfe7a,0xff71,0xfe1f,0xff5b,0xfdc2,0xff45,0xfd63,0xff30,0xfd03,0xff1c,0xfca1,0xff09,0xfc3e,0xfef6,0xfbd9,0xfee4,0xfb73,0xfed3,0xfb0b,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfeae,0x0506,0xfec1,0x04a0,0xfed5,0x043a,0xfee9,0x03d6,0xfefe,0x0374,0xff14,0x0312,0xff2b,0x02b3,0xff42,0x0255,0xff5a,0x01f9,0xff73,0x019f,0xff8e,0x0147,0xffa9,0x00f2,0xffc5,0x009e,0xffe2,0x004e,000000,000000,0x001f,0xffb6,0x0040,0xff6f,0x0061,0xff2b,0x0084,0xfeec,0x00a8,0xfeb1,0x00cd,0xfe7a,0x00f3,0xfe48,0x011a,0xfe1c,0x0143,0xfdf5,0x016c,0xfdd5,0x0197,0xfdbb,0x01c2,0xfda9,0x01ed,0xfd9e,0x0218,0xfd9b,0x0244,0xfda1,0x026f,0xfdaf,0x0298,0xfdc7,0x02c0,0xfde8,0x02e6,0xfe12,0x0308,0xfe44,0x0327,0xfe80,0x0342,0xfec2,0x0357,0xff0c,0x0367,0xff5a,0x0370,0xffac,0x0374,000000,0x0370,0x0054,0x0367,0x00a6,0x0357,0x00f4,0x0342,0x013e,0x0327,0x0180,0x0308,0x01bc,0x02e6,0x01ee,0x02c0,0x0218,0x0298,0x0239,0x026f,0x0251,0x0244,0x025f,0x0218,0x0265,0x01ed,0x0262,0x01c2,0x0257,0x0197,0x0245,0x016c,0x022b,0x0143,0x020b,0x011a,0x01e4,0x00f3,0x01b8,0x00cd,0x0186,0x00a8,0x014f,0x0084,0x0114,0x0061,0x00d5,0x0040,0x0091,0x001f,0x004a,000000,000000,0xffe2,0xffb2,0xffc5,0xff62,0xffa9,0xff0e,0xff8e,0xfeb9,0xff73,0xfe61,0xff5a,0xfe07,0xff42,0xfdab,0xff2b,0xfd4d,0xff14,0xfcee,0xfefe,0xfc8c,0xfee9,0xfc2a,0xfed5,0xfbc6,0xfec1,0xfb60,0xfeae,0xfafa,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfe89,0x051a,0xfe9d,0x04b4,0xfeb2,0x044f,0xfec8,0x03ec,0xfedf,0x038a,0xfef6,0x0329,0xff0e,0x02cb,0xff27,0x026e,0xff41,0x0213,0xff5c,0x01ba,0xff78,0x0163,0xff95,0x010e,0xffb3,0x00bc,0xffd2,0x006d,0xfff2,0x0020,0x0013,0xffd7,0x0035,0xff91,0x0059,0xff4e,0x007d,0xff10,0x00a3,0xfed6,0x00ca,0xfea0,0x00f2,0xfe70,0x011b,0xfe45,0x0145,0xfe1f,0x0170,0xfdff,0x019c,0xfde6,0x01c8,0xfdd4,0x01f5,0xfdca,0x0221,0xfdc7,0x024d,0xfdcc,0x0279,0xfdda,0x02a3,0xfdf0,0x02cb,0xfe0f,0x02f1,0xfe36,0x0313,0xfe65,0x0332,0xfe9c,0x034c,0xfeda,0x0361,0xff1e,0x0371,0xff67,0x037a,0xffb3,0x037e,000000,0x037a,0x004d,0x0371,0x0099,0x0361,0x00e2,0x034c,0x0126,0x0332,0x0164,0x0313,0x019b,0x02f1,0x01ca,0x02cb,0x01f1,0x02a3,0x0210,0x0279,0x0226,0x024d,0x0234,0x0221,0x0239,0x01f5,0x0236,0x01c8,0x022c,0x019c,0x021a,0x0170,0x0201,0x0145,0x01e1,0x011b,0x01bb,0x00f2,0x0190,0x00ca,0x0160,0x00a3,0x012a,0x007d,0x00f0,0x0059,0x00b2,0x0035,0x006f,0x0013,0x0029,0xfff2,0xffe0,0xffd2,0xff93,0xffb3,0xff44,0xff95,0xfef2,0xff78,0xfe9d,0xff5c,0xfe46,0xff41,0xfded,0xff27,0xfd92,0xff0e,0xfd35,0xfef6,0xfcd7,0xfedf,0xfc76,0xfec8,0xfc14,0xfeb2,0xfbb1,0xfe9d,0xfb4c,0xfe89,0xfae6,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfe61,0x052f,0xfe77,0x04c9,0xfe8e,0x0465,0xfea5,0x0403,0xfebd,0x03a2,0xfed6,0x0342,0xfef0,0x02e4,0xff0b,0x0288,0xff26,0x022e,0xff43,0x01d6,0xff60,0x0180,0xff7f,0x012c,0xff9e,0x00db,0xffbf,0x008d,0xffe1,0x0041,0x0003,0xfff9,0x0027,0xffb4,0x004c,0xff73,0x0073,0xff36,0x009a,0xfefd,0x00c3,0xfec9,0x00ec,0xfe99,0x0117,0xfe6f,0x0142,0xfe4a,0x016e,0xfe2b,0x019b,0xfe13,0x01c8,0xfe01,0x01f5,0xfdf7,0x0222,0xfdf4,0x024f,0xfdf8,0x027a,0xfe05,0x02a4,0xfe19,0x02cc,0xfe36,0x02f2,0xfe5a,0x0314,0xfe86,0x0332,0xfeb9,0x034c,0xfef2,0x0361,0xff30,0x0370,0xff73,0x0379,0xffb9,0x037c,000000,0x0379,0x0047,0x0370,0x008d,0x0361,0x00d0,0x034c,0x010e,0x0332,0x0147,0x0314,0x017a,0x02f2,0x01a6,0x02cc,0x01ca,0x02a4,0x01e7,0x027a,0x01fb,0x024f,0x0208,0x0222,0x020c,0x01f5,0x0209,0x01c8,0x01ff,0x019b,0x01ed,0x016e,0x01d5,0x0142,0x01b6,0x0117,0x0191,0x00ec,0x0167,0x00c3,0x0137,0x009a,0x0103,0x0073,0x00ca,0x004c,0x008d,0x0027,0x004c,0x0003,0x0007,0xffe1,0xffbf,0xffbf,0xff73,0xff9e,0xff25,0xff7f,0xfed4,0xff60,0xfe80,0xff43,0xfe2a,0xff26,0xfdd2,0xff0b,0xfd78,0xfef0,0xfd1c,0xfed6,0xfcbe,0xfebd,0xfc5e,0xfea5,0xfbfd,0xfe8e,0xfb9b,0xfe77,0xfb37,0xfe61,0xfad1,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfe39,0x0545,0xfe50,0x04e0,0xfe68,0x047d,0xfe80,0x041b,0xfe9a,0x03bb,0xfeb4,0x035c,0xfecf,0x02ff,0xfeec,0x02a4,0xff09,0x024b,0xff27,0x01f3,0xff45,0x019f,0xff65,0x014c,0xff86,0x00fc,0xffa9,0x00af,0xffcc,0x0065,0xfff0,0x001d,0x0015,0xffda,0x003c,0xff9a,0x0064,0xff5e,0x008c,0xff26,0x00b6,0xfef2,0x00e1,0xfec4,0x010c,0xfe9a,0x0139,0xfe76,0x0166,0xfe58,0x0193,0xfe40,0x01c1,0xfe2f,0x01ee,0xfe24,0x021b,0xfe21,0x0248,0xfe24,0x0273,0xfe2f,0x029d,0xfe42,0x02c5,0xfe5c,0x02e9,0xfe7e,0x030b,0xfea6,0x0329,0xfed5,0x0342,0xff09,0x0356,0xff42,0x0365,0xff7f,0x036e,0xffbf,0x0371,000000,0x036e,0x0041,0x0365,0x0081,0x0356,0x00be,0x0342,0x00f7,0x0329,0x012b,0x030b,0x015a,0x02e9,0x0182,0x02c5,0x01a4,0x029d,0x01be,0x0273,0x01d1,0x0248,0x01dc,0x021b,0x01df,0x01ee,0x01dc,0x01c1,0x01d1,0x0193,0x01c0,0x0166,0x01a8,0x0139,0x018a,0x010c,0x0166,0x00e1,0x013c,0x00b6,0x010e,0x008c,0x00da,0x0064,0x00a2,0x003c,0x0066,0x0015,0x0026,0xfff0,0xffe3,0xffcc,0xff9b,0xffa9,0xff51,0xff86,0xff04,0xff65,0xfeb4,0xff45,0xfe61,0xff27,0xfe0d,0xff09,0xfdb5,0xfeec,0xfd5c,0xfecf,0xfd01,0xfeb4,0xfca4,0xfe9a,0xfc45,0xfe80,0xfbe5,0xfe68,0xfb83,0xfe50,0xfb20,0xfe39,0xfabb,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfe0f,0x055c,0xfe27,0x04f8,0xfe40,0x0496,0xfe5a,0x0435,0xfe75,0x03d5,0xfe90,0x0378,0xfead,0x031b,0xfeca,0x02c1,0xfee9,0x0269,0xff08,0x0213,0xff28,0x01bf,0xff49,0x016d,0xff6c,0x011e,0xff8f,0x00d2,0xffb4,0x0089,0xffd9,0x0043,000000,000000,0x0027,0xffc1,0x0050,0xff86,0x007a,0xff4f,0x00a5,0xff1d,0x00d0,0xfeef,0x00fc,0xfec7,0x0129,0xfea3,0x0157,0xfe86,0x0185,0xfe6e,0x01b2,0xfe5d,0x01e0,0xfe52,0x020d,0xfe4d,0x0239,0xfe50,0x0264,0xfe5a,0x028d,0xfe6a,0x02b4,0xfe82,0x02d8,0xfea0,0x02f9,0xfec5,0x0316,0xfef0,0x032e,0xff1f,0x0342,0xff53,0x0350,0xff8b,0x0359,0xffc5,0x035c,000000,0x0359,0x003b,0x0350,0x0075,0x0342,0x00ad,0x032e,0x00e1,0x0316,0x0110,0x02f9,0x013b,0x02d8,0x0160,0x02b4,0x017e,0x028d,0x0196,0x0264,0x01a6,0x0239,0x01b0,0x020d,0x01b3,0x01e0,0x01ae,0x01b2,0x01a3,0x0185,0x0192,0x0157,0x017a,0x0129,0x015d,0x00fc,0x0139,0x00d0,0x0111,0x00a5,0x00e3,0x007a,0x00b1,0x0050,0x007a,0x0027,0x003f,000000,000000,0xffd9,0xffbd,0xffb4,0xff77,0xff8f,0xff2e,0xff6c,0xfee2,0xff49,0xfe93,0xff28,0xfe41,0xff08,0xfded,0xfee9,0xfd97,0xfeca,0xfd3f,0xfead,0xfce5,0xfe90,0xfc88,0xfe75,0xfc2b,0xfe5a,0xfbcb,0xfe40,0xfb6a,0xfe27,0xfb08,0xfe0f,0xfaa4,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfde3,0x0575,0xfdfc,0x0512,0xfe17,0x04b0,0xfe32,0x0450,0xfe4e,0x03f1,0xfe6a,0x0394,0xfe88,0x0339,0xfea7,0x02e0,0xfec6,0x0288,0xfee7,0x0233,0xff08,0x01e0,0xff2a,0x0190,0xff4e,0x0142,0xff72,0x00f7,0xff98,0x00ae,0xffbf,0x006a,0xffe6,0x0028,0x000f,0xffea,0x0038,0xffb0,0x0063,0xff7a,0x008e,0xff48,0x00ba,0xff1c,0x00e7,0xfef4,0x0114,0xfed1,0x0142,0xfeb4,0x0170,0xfe9c,0x019e,0xfe8a,0x01cb,0xfe7f,0x01f8,0xfe7a,0x0224,0xfe7b,0x024e,0xfe83,0x0276,0xfe92,0x029c,0xfea7,0x02bf,0xfec2,0x02df,0xfee3,0x02fb,0xff0a,0x0313,0xff35,0x0325,0xff64,0x0333,0xff96,0x033b,0xffcb,0x033e,000000,0x033b,0x0035,0x0333,0x006a,0x0325,0x009c,0x0313,0x00cb,0x02fb,0x00f6,0x02df,0x011d,0x02bf,0x013e,0x029c,0x0159,0x0276,0x016e,0x024e,0x017d,0x0224,0x0185,0x01f8,0x0186,0x01cb,0x0181,0x019e,0x0176,0x0170,0x0164,0x0142,0x014c,0x0114,0x012f,0x00e7,0x010c,0x00ba,0x00e4,0x008e,0x00b8,0x0063,0x0086,0x0038,0x0050,0x000f,0x0016,0xffe6,0xffd8,0xffbf,0xff96,0xff98,0xff52,0xff72,0xff09,0xff4e,0xfebe,0xff2a,0xfe70,0xff08,0xfe20,0xfee7,0xfdcd,0xfec6,0xfd78,0xfea7,0xfd20,0xfe88,0xfcc7,0xfe6a,0xfc6c,0xfe4e,0xfc0f,0xfe32,0xfbb0,0xfe17,0xfb50,0xfdfc,0xfaee,0xfde3,0xfa8b,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfdb5,0x058e,0xfdd0,0x052c,0xfdeb,0x04cb,0xfe07,0x046c,0xfe24,0x040e,0xfe42,0x03b2,0xfe61,0x0358,0xfe80,0x02ff,0xfea1,0x02a9,0xfec3,0x0254,0xfee5,0x0203,0xff08,0x01b3,0xff2d,0x0166,0xff52,0x011c,0xff79,0x00d5,0xffa0,0x0091,0xffc9,0x0051,0xfff2,0x0014,0x001c,0xffda,0x0047,0xffa5,0x0073,0xff74,0x00a0,0xff48,0x00cd,0xff21,0x00fa,0xfefe,0x0128,0xfee1,0x0155,0xfeca,0x0183,0xfeb8,0x01b0,0xfeac,0x01dc,0xfea6,0x0207,0xfea6,0x0230,0xfeac,0x0258,0xfeb9,0x027d,0xfecb,0x029f,0xfee3,0x02be,0xff01,0x02d8,0xff23,0x02ef,0xff4a,0x0301,0xff74,0x030e,0xffa1,0x0316,0xffd0,0x0319,000000,0x0316,0x0030,0x030e,0x005f,0x0301,0x008c,0x02ef,0x00b6,0x02d8,0x00dd,0x02be,0x00ff,0x029f,0x011d,0x027d,0x0135,0x0258,0x0147,0x0230,0x0154,0x0207,0x015a,0x01dc,0x015a,0x01b0,0x0154,0x0183,0x0148,0x0155,0x0136,0x0128,0x011f,0x00fa,0x0102,0x00cd,0x00df,0x00a0,0x00b8,0x0073,0x008c,0x0047,0x005b,0x001c,0x0026,0xfff2,0xffec,0xffc9,0xffaf,0xffa0,0xff6f,0xff79,0xff2b,0xff52,0xfee4,0xff2d,0xfe9a,0xff08,0xfe4d,0xfee5,0xfdfd,0xfec3,0xfdac,0xfea1,0xfd57,0xfe80,0xfd01,0xfe61,0xfca8,0xfe42,0xfc4e,0xfe24,0xfbf2,0xfe07,0xfb94,0xfdeb,0xfb35,0xfdd0,0xfad4,0xfdb5,0xfa72,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfd86,0x05a9,0xfda2,0x0547,0xfdbe,0x04e7,0xfddb,0x0489,0xfdf9,0x042c,0xfe18,0x03d1,0xfe37,0x0377,0xfe58,0x0320,0xfe79,0x02ca,0xfe9c,0x0277,0xfebf,0x0226,0xfee4,0x01d7,0xff09,0x018c,0xff2f,0x0142,0xff56,0x00fc,0xff7e,0x00b9,0xffa7,0x007a,0xffd1,0x003e,0xfffc,0x0005,0x0027,0xffd1,0x0053,0xffa1,0x0080,0xff75,0x00ad,0xff4e,0x00da,0xff2c,0x0108,0xff0f,0x0135,0xfef7,0x0162,0xfee5,0x018e,0xfed8,0x01ba,0xfed1,0x01e4,0xfed0,0x020c,0xfed4,0x0233,0xfedf,0x0257,0xfeee,0x0278,0xff03,0x0295,0xff1d,0x02af,0xff3c,0x02c5,0xff5e,0x02d6,0xff83,0x02e3,0xffac,0x02eb,0xffd5,0x02ed,000000,0x02eb,0x002b,0x02e3,0x0054,0x02d6,0x007d,0x02c5,0x00a2,0x02af,0x00c4,0x0295,0x00e3,0x0278,0x00fd,0x0257,0x0112,0x0233,0x0121,0x020c,0x012c,0x01e4,0x0130,0x01ba,0x012f,0x018e,0x0128,0x0162,0x011b,0x0135,0x0109,0x0108,0x00f1,0x00da,0x00d4,0x00ad,0x00b2,0x0080,0x008b,0x0053,0x005f,0x0027,0x002f,0xfffc,0xfffb,0xffd1,0xffc2,0xffa7,0xff86,0xff7e,0xff47,0xff56,0xff04,0xff2f,0xfebe,0xff09,0xfe74,0xfee4,0xfe29,0xfebf,0xfdda,0xfe9c,0xfd89,0xfe79,0xfd36,0xfe58,0xfce0,0xfe37,0xfc89,0xfe18,0xfc2f,0xfdf9,0xfbd4,0xfddb,0xfb77,0xfdbe,0xfb19,0xfda2,0xfab9,0xfd86,0xfa57,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfd55,0x05c4,0xfd71,0x0564,0xfd8f,0x0505,0xfdad,0x04a7,0xfdcb,0x044b,0xfdeb,0x03f0,0xfe0c,0x0398,0xfe2d,0x0341,0xfe4f,0x02ed,0xfe72,0x029a,0xfe97,0x024a,0xfebc,0x01fd,0xfee2,0x01b2,0xff08,0x0169,0xff30,0x0124,0xff59,0x00e2,0xff82,0x00a3,0xffac,0x0068,0xffd7,0x0030,0x0003,0xfffd,0x002f,0xffcd,0x005c,0xffa2,0x0089,0xff7b,0x00b6,0xff59,0x00e3,0xff3c,0x010f,0xff24,0x013c,0xff11,0x0167,0xff04,0x0192,0xfefb,0x01bb,0xfef9,0x01e2,0xfefb,0x0207,0xff03,0x022a,0xff10,0x024a,0xff22,0x0267,0xff39,0x0280,0xff53,0x0295,0xff71,0x02a5,0xff92,0x02b1,0xffb5,0x02b9,0xffda,0x02bb,000000,0x02b9,0x0026,0x02b1,0x004b,0x02a5,0x006e,0x0295,0x008f,0x0280,0x00ad,0x0267,0x00c7,0x024a,0x00de,0x022a,0x00f0,0x0207,0x00fd,0x01e2,0x0105,0x01bb,0x0107,0x0192,0x0105,0x0167,0x00fc,0x013c,0x00ef,0x010f,0x00dc,0x00e3,0x00c4,0x00b6,0x00a7,0x0089,0x0085,0x005c,0x005e,0x002f,0x0033,0x0003,0x0003,0xffd7,0xffd0,0xffac,0xff98,0xff82,0xff5d,0xff59,0xff1e,0xff30,0xfedc,0xff08,0xfe97,0xfee2,0xfe4e,0xfebc,0xfe03,0xfe97,0xfdb6,0xfe72,0xfd66,0xfe4f,0xfd13,0xfe2d,0xfcbf,0xfe0c,0xfc68,0xfdeb,0xfc10,0xfdcb,0xfbb5,0xfdad,0xfb59,0xfd8f,0xfafb,0xfd71,0xfa9c,0xfd55,0xfa3c,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfd22,0x05e1,0xfd40,0x0581,0xfd5d,0x0522,0xfd7c,0x04c6,0xfd9c,0x046a,0xfdbc,0x0411,0xfddd,0x03b9,0xfdff,0x0363,0xfe22,0x0310,0xfe46,0x02be,0xfe6b,0x026f,0xfe91,0x0222,0xfeb7,0x01d8,0xfede,0x0191,0xff07,0x014d,0xff30,0x010b,0xff59,0x00cd,0xff84,0x0093,0xffaf,0x005c,0xffda,0x0029,0x0006,0xfffa,0x0033,0xffcf,0x005f,0xffa8,0x008c,0xff86,0x00b8,0xff69,0x00e5,0xff50,0x0110,0xff3d,0x013b,0xff2e,0x0164,0xff25,0x018c,0xff20,0x01b3,0xff21,0x01d7,0xff27,0x01f8,0xff31,0x0217,0xff40,0x0232,0xff53,0x024a,0xff6a,0x025e,0xff84,0x026e,0xffa0,0x027a,0xffbf,0x0281,0xffdf,0x0283,000000,0x0281,0x0021,0x027a,0x0041,0x026e,0x0060,0x025e,0x007c,0x024a,0x0096,0x0232,0x00ad,0x0217,0x00c0,0x01f8,0x00cf,0x01d7,0x00d9,0x01b3,0x00df,0x018c,0x00e0,0x0164,0x00db,0x013b,0x00d2,0x0110,0x00c3,0x00e5,0x00b0,0x00b8,0x0097,0x008c,0x007a,0x005f,0x0058,0x0033,0x0031,0x0006,0x0006,0xffda,0xffd7,0xffaf,0xffa4,0xff84,0xff6d,0xff59,0xff33,0xff30,0xfef5,0xff07,0xfeb3,0xfede,0xfe6f,0xfeb7,0xfe28,0xfe91,0xfdde,0xfe6b,0xfd91,0xfe46,0xfd42,0xfe22,0xfcf0,0xfdff,0xfc9d,0xfddd,0xfc47,0xfdbc,0xfbef,0xfd9c,0xfb96,0xfd7c,0xfb3a,0xfd5d,0xfade,0xfd40,0xfa7f,0xfd22,0xfa1f,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfcee,0x05fe,0xfd0c,0x059f,0xfd2a,0x0541,0xfd4a,0x04e5,0xfd6a,0x048b,0xfd8b,0x0432,0xfdad,0x03db,0xfdd0,0x0386,0xfdf3,0x0333,0xfe17,0x02e3,0xfe3d,0x0294,0xfe63,0x0249,0xfe8a,0x01ff,0xfeb1,0x01b9,0xfeda,0x0175,0xff03,0x0135,0xff2d,0x00f7,0xff57,0x00bd,0xff82,0x0087,0xffae,0x0054,0xffd9,0x0026,0x0006,0xfffb,0x0032,0xffd4,0x005e,0xffb2,0x008a,0xff95,0x00b5,0xff7c,0x00e0,0xff67,0x0109,0xff58,0x0132,0xff4d,0x0159,0xff47,0x017e,0xff46,0x01a1,0xff49,0x01c1,0xff51,0x01df,0xff5d,0x01f9,0xff6c,0x0210,0xff7f,0x0223,0xff95,0x0232,0xffae,0x023d,0xffc8,0x0244,0xffe4,0x0246,000000,0x0244,0x001c,0x023d,0x0038,0x0232,0x0052,0x0223,0x006b,0x0210,0x0081,0x01f9,0x0094,0x01df,0x00a3,0x01c1,0x00af,0x01a1,0x00b7,0x017e,0x00ba,0x0159,0x00b9,0x0132,0x00b3,0x0109,0x00a8,0x00e0,0x0099,0x00b5,0x0084,0x008a,0x006b,0x005e,0x004e,0x0032,0x002c,0x0006,0x0005,0xffd9,0xffda,0xffae,0xffac,0xff82,0xff79,0xff57,0xff43,0xff2d,0xff09,0xff03,0xfecb,0xfeda,0xfe8b,0xfeb1,0xfe47,0xfe8a,0xfe01,0xfe63,0xfdb7,0xfe3d,0xfd6c,0xfe17,0xfd1d,0xfdf3,0xfccd,0xfdd0,0xfc7a,0xfdad,0xfc25,0xfd8b,0xfbce,0xfd6a,0xfb75,0xfd4a,0xfb1b,0xfd2a,0xfabf,0xfd0c,0xfa61,0xfcee,0xfa02,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfcb7,0x061c,0xfcd6,0x05bd,0xfcf5,0x0560,0xfd15,0x0505,0xfd36,0x04ac,0xfd58,0x0454,0xfd7a,0x03fe,0xfd9d,0x03aa,0xfdc1,0x0358,0xfde6,0x0308,0xfe0c,0x02ba,0xfe32,0x026f,0xfe59,0x0227,0xfe81,0x01e1,0xfeaa,0x019e,0xfed3,0x015e,0xfefd,0x0122,0xff27,0x00e8,0xff52,0x00b2,0xff7d,0x0080,0xffa8,0x0051,0xffd4,0x0027,000000,000000,0x002b,0xffde,0x0056,0xffc0,0x0081,0xffa6,0x00aa,0xff91,0x00d3,0xff80,0x00fa,0xff74,0x0120,0xff6d,0x0144,0xff69,0x0166,0xff6a,0x0185,0xff6f,0x01a1,0xff78,0x01bb,0xff84,0x01d1,0xff94,0x01e3,0xffa6,0x01f2,0xffbb,0x01fc,0xffd1,0x0203,0xffe8,0x0205,000000,0x0203,0x0018,0x01fc,0x002f,0x01f2,0x0045,0x01e3,0x005a,0x01d1,0x006c,0x01bb,0x007c,0x01a1,0x0088,0x0185,0x0091,0x0166,0x0096,0x0144,0x0097,0x0120,0x0093,0x00fa,0x008c,0x00d3,0x0080,0x00aa,0x006f,0x0081,0x005a,0x0056,0x0040,0x002b,0x0022,000000,000000,0xffd4,0xffd9,0xffa8,0xffaf,0xff7d,0xff80,0xff52,0xff4e,0xff27,0xff18,0xfefd,0xfede,0xfed3,0xfea2,0xfeaa,0xfe62,0xfe81,0xfe1f,0xfe59,0xfdd9,0xfe32,0xfd91,0xfe0c,0xfd46,0xfde6,0xfcf8,0xfdc1,0xfca8,0xfd9d,0xfc56,0xfd7a,0xfc02,0xfd58,0xfbac,0xfd36,0xfb54,0xfd15,0xfafb,0xfcf5,0xfaa0,0xfcd6,0xfa43,0xfcb7,0xf9e4,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfc7f,0x063a,0xfc9e,0x05dd,0xfcbe,0x0580,0xfcdf,0x0526,0xfd00,0x04cd,0xfd22,0x0476,0xfd45,0x0421,0xfd68,0x03cd,0xfd8d,0x037c,0xfdb2,0x032d,0xfdd8,0x02e0,0xfdfe,0x0296,0xfe26,0x024e,0xfe4e,0x0209,0xfe76,0x01c7,0xfe9f,0x0188,0xfec9,0x014c,0xfef3,0x0113,0xff1e,0x00dd,0xff49,0x00ab,0xff74,0x007d,0xff9f,0x0052,0xffca,0x002b,0xfff4,0x0009,0x001f,0xffea,0x0048,0xffd0,0x0071,0xffba,0x0098,0xffa8,0x00bf,0xff9a,0x00e3,0xff91,0x0106,0xff8c,0x0127,0xff8a,0x0145,0xff8d,0x0160,0xff92,0x0178,0xff9c,0x018d,0xffa8,0x019f,0xffb6,0x01ad,0xffc7,0x01b7,0xffd9,0x01bd,0xffec,0x01bf,000000,0x01bd,0x0014,0x01b7,0x0027,0x01ad,0x0039,0x019f,0x004a,0x018d,0x0058,0x0178,0x0064,0x0160,0x006e,0x0145,0x0073,0x0127,0x0076,0x0106,0x0074,0x00e3,0x006f,0x00bf,0x0066,0x0098,0x0058,0x0071,0x0046,0x0048,0x0030,0x001f,0x0016,0xfff4,0xfff7,0xffca,0xffd5,0xff9f,0xffae,0xff74,0xff83,0xff49,0xff55,0xff1e,0xff23,0xfef3,0xfeed,0xfec9,0xfeb4,0xfe9f,0xfe78,0xfe76,0xfe39,0xfe4e,0xfdf7,0xfe26,0xfdb2,0xfdfe,0xfd6a,0xfdd8,0xfd20,0xfdb2,0xfcd3,0xfd8d,0xfc84,0xfd68,0xfc33,0xfd45,0xfbdf,0xfd22,0xfb8a,0xfd00,0xfb33,0xfcdf,0xfada,0xfcbe,0xfa80,0xfc9e,0xfa23,0xfc7f,0xf9c6,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfc45,0x0659,0xfc65,0x05fc,0xfc85,0x05a1,0xfca6,0x0547,0xfcc8,0x04ef,0xfcea,0x0498,0xfd0d,0x0444,0xfd31,0x03f1,0xfd56,0x03a1,0xfd7b,0x0353,0xfda1,0x0307,0xfdc8,0x02bd,0xfdef,0x0276,0xfe17,0x0232,0xfe40,0x01f0,0xfe69,0x01b1,0xfe92,0x0175,0xfebc,0x013d,0xfee6,0x0108,0xff11,0x00d6,0xff3b,0x00a7,0xff66,0x007d,0xff90,0x0056,0xffba,0x0033,0xffe3,0x0014,0x000c,0xfff9,0x0033,0xffe1,0x005a,0xffce,0x007f,0xffbf,0x00a2,0xffb4,0x00c4,0xffad,0x00e3,0xffa9,0x0100,0xffa9,0x011b,0xffac,0x0132,0xffb2,0x0146,0xffbb,0x0157,0xffc6,0x0164,0xffd3,0x016e,0xffe1,0x0173,0xfff0,0x0175,000000,0x0173,0x0010,0x016e,0x001f,0x0164,0x002d,0x0157,0x003a,0x0146,0x0045,0x0132,0x004e,0x011b,0x0054,0x0100,0x0057,0x00e3,0x0057,0x00c4,0x0053,0x00a2,0x004c,0x007f,0x0041,0x005a,0x0032,0x0033,0x001f,0x000c,0x0007,0xffe3,0xffec,0xffba,0xffcd,0xff90,0xffaa,0xff66,0xff83,0xff3b,0xff59,0xff11,0xff2a,0xfee6,0xfef8,0xfebc,0xfec3,0xfe92,0xfe8b,0xfe69,0xfe4f,0xfe40,0xfe10,0xfe17,0xfdce,0xfdef,0xfd8a,0xfdc8,0xfd43,0xfda1,0xfcf9,0xfd7b,0xfcad,0xfd56,0xfc5f,0xfd31,0xfc0f,0xfd0d,0xfbbc,0xfcea,0xfb68,0xfcc8,0xfb11,0xfca6,0xfab9,0xfc85,0xfa5f,0xfc65,0xfa04,0xfc45,0xf9a7,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfc09,0x0678,0xfc29,0x061c,0xfc4a,0x05c2,0xfc6b,0x0568,0xfc8d,0x0511,0xfcb0,0x04bb,0xfcd3,0x0468,0xfcf8,0x0416,0xfd1c,0x03c6,0xfd42,0x0378,0xfd68,0x032d,0xfd8f,0x02e4,0xfdb6,0x029e,0xfdde,0x025a,0xfe06,0x0219,0xfe2f,0x01da,0xfe58,0x019f,0xfe82,0x0167,0xfeac,0x0132,0xfed5,0x0100,0xfeff,0x00d2,0xff29,0x00a7,0xff52,0x0080,0xff7b,0x005c,0xffa4,0x003c,0xffcb,0x0020,0xfff2,0x0008,0x0017,0xfff4,0x003b,0xffe3,0x005e,0xffd6,0x007e,0xffcd,0x009c,0xffc7,0x00b8,0xffc4,0x00d1,0xffc4,0x00e8,0xffc7,0x00fb,0xffcd,0x010b,0xffd4,0x0118,0xffde,0x0121,0xffe8,0x0127,0xfff4,0x0128,000000,0x0127,0x000c,0x0121,0x0018,0x0118,0x0022,0x010b,0x002c,0x00fb,0x0033,0x00e8,0x0039,0x00d1,0x003c,0x00b8,0x003c,0x009c,0x0039,0x007e,0x0033,0x005e,0x002a,0x003b,0x001d,0x0017,0x000c,0xfff2,0xfff8,0xffcb,0xffe0,0xffa4,0xffc4,0xff7b,0xffa4,0xff52,0xff80,0xff29,0xff59,0xfeff,0xff2e,0xfed5,0xff00,0xfeac,0xfece,0xfe82,0xfe99,0xfe58,0xfe61,0xfe2f,0xfe26,0xfe06,0xfde7,0xfdde,0xfda6,0xfdb6,0xfd62,0xfd8f,0xfd1c,0xfd68,0xfcd3,0xfd42,0xfc88,0xfd1c,0xfc3a,0xfcf8,0xfbea,0xfcd3,0xfb98,0xfcb0,0xfb45,0xfc8d,0xfaef,0xfc6b,0xfa98,0xfc4a,0xfa3e,0xfc29,0xf9e4,0xfc09,0xf988,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfbcc,0x0698,0xfbec,0x063d,0xfc0d,0x05e3,0xfc2f,0x058a,0xfc51,0x0534,0xfc74,0x04df,0xfc97,0x048b,0xfcbc,0x043a,0xfce1,0x03eb,0xfd06,0x039e,0xfd2c,0x0354,0xfd53,0x030b,0xfd7a,0x02c5,0xfda2,0x0282,0xfdca,0x0241,0xfdf2,0x0203,0xfe1b,0x01c8,0xfe44,0x0190,0xfe6e,0x015b,0xfe97,0x0129,0xfec0,0x00fb,0xfee9,0x00d0,0xff11,0x00a8,0xff3a,0x0084,0xff61,0x0064,0xff88,0x0047,0xffad,0x002e,0xffd1,0x0018,0xfff4,0x0006,0x0015,0xfff7,0x0035,0xffeb,0x0052,0xffe3,0x006d,0xffde,0x0085,0xffdc,0x009a,0xffdc,0x00ad,0xffde,0x00bc,0xffe2,0x00c8,0xffe8,0x00d1,0xfff0,0x00d6,0xfff8,0x00d8,000000,0x00d6,0x0008,0x00d1,0x0010,0x00c8,0x0018,0x00bc,0x001e,0x00ad,0x0022,0x009a,0x0024,0x0085,0x0024,0x006d,0x0022,0x0052,0x001d,0x0035,0x0015,0x0015,0x0009,0xfff4,0xfffa,0xffd1,0xffe8,0xffad,0xffd2,0xff88,0xffb9,0xff61,0xff9c,0xff3a,0xff7c,0xff11,0xff58,0xfee9,0xff30,0xfec0,0xff05,0xfe97,0xfed7,0xfe6e,0xfea5,0xfe44,0xfe70,0xfe1b,0xfe38,0xfdf2,0xfdfd,0xfdca,0xfdbf,0xfda2,0xfd7e,0xfd7a,0xfd3b,0xfd53,0xfcf5,0xfd2c,0xfcac,0xfd06,0xfc62,0xfce1,0xfc15,0xfcbc,0xfbc6,0xfc97,0xfb75,0xfc74,0xfb21,0xfc51,0xfacc,0xfc2f,0xfa76,0xfc0d,0xfa1d,0xfbec,0xf9c3,0xfbcc,0xf968,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfb8c,0x06b8,0xfbad,0x065d,0xfbce,0x0604,0xfbf0,0x05ac,0xfc12,0x0556,0xfc35,0x0502,0xfc59,0x04af,0xfc7d,0x045f,0xfca2,0x0410,0xfcc8,0x03c4,0xfcee,0x037a,0xfd14,0x0332,0xfd3c,0x02ed,0xfd63,0x02aa,0xfd8b,0x0269,0xfdb3,0x022c,0xfddb,0x01f1,0xfe04,0x01b9,0xfe2d,0x0184,0xfe55,0x0152,0xfe7d,0x0124,0xfea6,0x00f8,0xfecd,0x00d0,0xfef5,0x00ac,0xff1b,0x008a,0xff41,0x006c,0xff65,0x0052,0xff88,0x003b,0xffaa,0x0027,0xffca,0x0016,0xffe8,0x0009,0x0004,0xffff,0x001e,0xfff7,0x0035,0xfff2,0x004a,0xffef,0x005b,0xffef,0x006a,0xfff0,0x0076,0xfff3,0x007e,0xfff6,0x0083,0xfffb,0x0085,000000,0x0083,0x0005,0x007e,0x000a,0x0076,0x000d,0x006a,0x0010,0x005b,0x0011,0x004a,0x0011,0x0035,0x000e,0x001e,0x0009,0x0004,0x0001,0xffe8,0xfff7,0xffca,0xffea,0xffaa,0xffd9,0xff88,0xffc5,0xff65,0xffae,0xff41,0xff94,0xff1b,0xff76,0xfef5,0xff54,0xfecd,0xff30,0xfea6,0xff08,0xfe7d,0xfedc,0xfe55,0xfeae,0xfe2d,0xfe7c,0xfe04,0xfe47,0xfddb,0xfe0f,0xfdb3,0xfdd4,0xfd8b,0xfd97,0xfd63,0xfd56,0xfd3c,0xfd13,0xfd14,0xfcce,0xfcee,0xfc86,0xfcc8,0xfc3c,0xfca2,0xfbf0,0xfc7d,0xfba1,0xfc59,0xfb51,0xfc35,0xfafe,0xfc12,0xfaaa,0xfbf0,0xfa54,0xfbce,0xf9fc,0xfbad,0xf9a3,0xfb8c,0xf948,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfb4b,0x06d9,0xfb6c,0x067e,0xfb8d,0x0626,0xfbaf,0x05cf,0xfbd2,0x0579,0xfbf5,0x0525,0xfc19,0x04d4,0xfc3d,0x0484,0xfc62,0x0436,0xfc87,0x03ea,0xfcad,0x03a0,0xfcd4,0x0359,0xfcfa,0x0314,0xfd22,0x02d1,0xfd49,0x0291,0xfd71,0x0254,0xfd99,0x0219,0xfdc1,0x01e1,0xfde8,0x01ac,0xfe10,0x017a,0xfe38,0x014c,0xfe5f,0x0120,0xfe86,0x00f7,0xfeac,0x00d2,0xfed2,0x00b0,0xfef6,0x0091,0xff1a,0x0075,0xff3c,0x005d,0xff5c,0x0047,0xff7b,0x0035,0xff98,0x0026,0xffb3,0x0019,0xffcc,0x000f,0xffe3,0x0007,0xfff6,0x0002,0x0007,0xffff,0x0015,0xfffd,0x0021,0xfffc,0x0029,0xfffd,0x002d,0xfffe,0x002f,000000,0x002d,0x0002,0x0029,0x0003,0x0021,0x0004,0x0015,0x0003,0x0007,0x0001,0xfff6,0xfffe,0xffe3,0xfff9,0xffcc,0xfff1,0xffb3,0xffe7,0xff98,0xffda,0xff7b,0xffcb,0xff5c,0xffb9,0xff3c,0xffa3,0xff1a,0xff8b,0xfef6,0xff6f,0xfed2,0xff50,0xfeac,0xff2e,0xfe86,0xff09,0xfe5f,0xfee0,0xfe38,0xfeb4,0xfe10,0xfe86,0xfde8,0xfe54,0xfdc1,0xfe1f,0xfd99,0xfde7,0xfd71,0xfdac,0xfd49,0xfd6f,0xfd22,0xfd2f,0xfcfa,0xfcec,0xfcd4,0xfca7,0xfcad,0xfc60,0xfc87,0xfc16,0xfc62,0xfbca,0xfc3d,0xfb7c,0xfc19,0xfb2c,0xfbf5,0xfadb,0xfbd2,0xfa87,0xfbaf,0xfa31,0xfb8d,0xf9da,0xfb6c,0xf982,0xfb4b,0xf927,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfb08,0x06f9,0xfb29,0x069f,0xfb4a,0x0647,0xfb6d,0x05f1,0xfb8f,0x059c,0xfbb2,0x0549,0xfbd6,0x04f8,0xfbfa,0x04a8,0xfc1f,0x045b,0xfc44,0x040f,0xfc6a,0x03c6,0xfc90,0x037f,0xfcb7,0x033b,0xfcde,0x02f8,0xfd05,0x02b8,0xfd2c,0x027b,0xfd53,0x0241,0xfd7a,0x0209,0xfda2,0x01d4,0xfdc9,0x01a2,0xfdf0,0x0173,0xfe16,0x0147,0xfe3c,0x011d,0xfe61,0x00f7,0xfe86,0x00d4,0xfea9,0x00b4,0xfecc,0x0097,0xfeed,0x007e,0xff0c,0x0067,0xff2a,0x0053,0xff46,0x0041,0xff60,0x0032,0xff78,0x0026,0xff8d,0x001c,0xffa0,0x0014,0xffb1,0x000e,0xffbe,0x0009,0xffc9,0x0006,0xffd1,0x0003,0xffd5,0x0002,0xffd7,000000,0xffd5,0xfffe,0xffd1,0xfffd,0xffc9,0xfffa,0xffbe,0xfff7,0xffb1,0xfff2,0xffa0,0xffec,0xff8d,0xffe4,0xff78,0xffda,0xff60,0xffce,0xff46,0xffbf,0xff2a,0xffad,0xff0c,0xff99,0xfeed,0xff82,0xfecc,0xff69,0xfea9,0xff4c,0xfe86,0xff2c,0xfe61,0xff09,0xfe3c,0xfee3,0xfe16,0xfeb9,0xfdf0,0xfe8d,0xfdc9,0xfe5e,0xfda2,0xfe2c,0xfd7a,0xfdf7,0xfd53,0xfdbf,0xfd2c,0xfd85,0xfd05,0xfd48,0xfcde,0xfd08,0xfcb7,0xfcc5,0xfc90,0xfc81,0xfc6a,0xfc3a,0xfc44,0xfbf1,0xfc1f,0xfba5,0xfbfa,0xfb58,0xfbd6,0xfb08,0xfbb2,0xfab7,0xfb8f,0xfa64,0xfb6d,0xfa0f,0xfb4a,0xf9b9,0xfb29,0xf961,0xfb08,0xf907,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    0xfac3,0x071a,0xfae4,0x06c1,0xfb06,0x0669,0xfb28,0x0613,0xfb4b,0x05bf,0xfb6e,0x056c,0xfb92,0x051c,0xfbb6,0x04cd,0xfbda,0x0480,0xfbff,0x0435,0xfc25,0x03ec,0xfc4b,0x03a5,0xfc71,0x0361,0xfc97,0x031f,0xfcbe,0x02df,0xfce4,0x02a2,0xfd0b,0x0268,0xfd32,0x0230,0xfd58,0x01fb,0xfd7f,0x01c8,0xfda5,0x0199,0xfdca,0x016c,0xfdef,0x0143,0xfe14,0x011c,0xfe37,0x00f8,0xfe5a,0x00d7,0xfe7b,0x00b9,0xfe9b,0x009d,0xfeb9,0x0085,0xfed6,0x006f,0xfef1,0x005c,0xff0a,0x004b,0xff21,0x003c,0xff36,0x0030,0xff48,0x0025,0xff58,0x001d,0xff65,0x0015,0xff6f,0x000f,0xff76,0x0009,0xff7b,0x0005,0xff7c,000000,0xff7b,0xfffb,0xff76,0xfff7,0xff6f,0xfff1,0xff65,0xffeb,0xff58,0xffe3,0xff48,0xffdb,0xff36,0xffd0,0xff21,0xffc4,0xff0a,0xffb5,0xfef1,0xffa4,0xfed6,0xff91,0xfeb9,0xff7b,0xfe9b,0xff63,0xfe7b,0xff47,0xfe5a,0xff29,0xfe37,0xff08,0xfe14,0xfee4,0xfdef,0xfebd,0xfdca,0xfe94,0xfda5,0xfe67,0xfd7f,0xfe38,0xfd58,0xfe05,0xfd32,0xfdd0,0xfd0b,0xfd98,0xfce4,0xfd5e,0xfcbe,0xfd21,0xfc97,0xfce1,0xfc71,0xfc9f,0xfc4b,0xfc5b,0xfc25,0xfc14,0xfbff,0xfbcb,0xfbda,0xfb80,0xfbb6,0xfb33,0xfb92,0xfae4,0xfb6e,0xfa94,0xfb4b,0xfa41,0xfb28,0xf9ed,0xfb06,0xf997,0xfae4,0xf93f,0xfac3,0xf8e6,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
    

    This H/W version of the mesh table "test_mesh_lut.txt" is in 32-bit words with little endian encoding and each row of the mesh table must be 16-byte aligned.
    For example, the first row in "mesh.txt" above is "1818 (0x71a), 1341 (0x53d)", and the corresponding 32-bit binary word is "0x53d, 0x71a" in "test_mesh_lut.txt".
    At the end of each row in "test_mesh_lut.txt", you can find the padding of six "0x0000" so that each row is 336 bytes (336=16x21).
    Note: this "test_mesh_lut.txt" has the same content as "ldc_lut_xxxxxxxx.h" if you don't use DCC for LDC programming.

    The size of the H/W mesh table is "84x60" in elements of 32-bit words, which is slightly larger than "81x60" of the "mesh.txt" above because of the row alignment.

    5. Copy the above xml file and its included LUT text file to the "imaging/sensor_drv/src/sensor_name/dcc_xmls/" folder in PSDK for your sensor.
    Then, you may need to run the "generate_dcc.sh" under the folder and recompile PSDK.
    PSDK sample apps such as "vx_app_single_cam" will pick up the new DCC settings for LDC.

    Note: some additional discussions in another e2e thread: https://e2e.ti.com/support/processors-group/processors/f/processors-forum/1114821/faq-tda4vm-how-ldc-lut-can-be-converted-from-text-to-binary-format-and-be-used-in-sdk/4135718#4135718

    Note: if you are using edgeai/gstteramer, tuning tool exports the DCC binary file while you click the "Export DCC profile binary" button in the Toolbar or from the "Execute" menu. The generated DCC binary file can be used in the gstreamer pipeline.

    Note: in the case of LDC input and output images are of different size, we will need to make a small change in the matlab code above because the image center of I/O images are different.
    In the code below, (hc_d, vc_d) is image center of the LDC input image (distorted image) and (hc_p, vc_p) is the image center of the LDC output image.
    BTW, as the input and output image are of different sizes, to maintain the same view, you would need to adjust the original view scaler "s" by "s = s * Wi / Wo".

    %----------------------------------------------------------------------------------------------------
    function [] = gen_lut(spec_file, pitch_in_mm,f_in_mm, Wm, Hm, hc_d, vc_d, Wi, Hi, hc_p, vc_p, s ,m)
    f = f_in_mm/pitch_in_mm ; 
    [h_p , v_p] = meshgrid( 0:Wm, 0:Hm);
    [h_d,v_d] = xyz2distorted(h_p, v_p, f/s, hc_d, vc_d, hc_p, vc_p, spec_file, pitch_in_mm);
    
    %-------------------------------------------------------------------------------
    % If necessary, clip (h_d, v_d) into your input image boundary or ROI boundary here
    %-------------------------------------------------------------------------------
    
    h_delta = round((h_d-h_p) * 8);
    v_delta = round((v_d-v_p) * 8);
    mh = h_delta(1:2^m:end, 1:2^m:end)';
    mv = v_delta(1:2^m:end, 1:2^m:end)';
    dlmwrite('mesh.txt', [mh(:), mv(:)],  'delimiter', ' ');
    
    
    %----------------------------------------------------------------------------------------------------
    function [h_d, v_d] = xyz2distorted(x, y, z, hc_d, vc_d, hc_p, vc_p, spec_file, pitch_in_mm)
    xt = x - hc_p;
    yt = y - vc_p;
    zt = z * ones(size(xt));
    
    %----------------------------------------------------------------------------------------------------
    % If necessary, insert your change of camera view point as a transform on 3D points (xt, yt, zt) here
    %----------------------------------------------------------------------------------------------------
    
    [phi, r] = cart2pol(xt, yt);
    theta = atan2(r, zt);
    lut = read_spec(spec_file, pitch_in_mm);
    r = interp1(lut(:,1), lut(:,2), theta);
    [h_d, v_d] = pol2cart(phi, r);
    h_d = h_d + hc_d;
    v_d = v_d + vc_d;

    Note: there is a known issue as of 03/2024 in LDC driver that requires output image row pitch, P (maybe slightly larger that image width), to be a multiple of OBW.
    It is not a limitation of LDC H/W, but an issue in driver while copying LDC output from SL2 to DDR via DMA.
    If OBW is not a factor of P, you may see artifacts on the left border of you LDC output image (coming from right side of the image).

    If you see these kind of artifacts, you may adjust OBW to be a factor of the row pitch of your LDC output image to get around.