AM625: Issue about tidss rcu_preempt self-detected stall on CPU

Part Number: AM625
Other Parts Discussed in Thread: TFP410

Tool/software:

Hi Ti expert,


We are using AM625 custom board with VGA connected to LCD monitor.

We got an error log with "rcu_preempt self-detected stall on CPU" and system hang.

 

[   34.281580] rcu: INFO: rcu_preempt self-detected stall on CPU
[   34.281611] rcu: 	0-....: (2 GPs behind) idle=9104/1/0x4000000000000000 softirq=0/0 fqs=4819 rcuc=21107 jiffies(starved)
[   34.281624] 	(t=21000 jiffies g=6881 q=1364 ncpus=4)
[   34.281637] CPU: 0 PID: 137 Comm: irq/289-tidss Tainted: G           O       6.1.46-rt13-BSP_12.4--g17da321871 #1
[   34.281643] Hardware name: Texas Instruments AM625 SK (DT)
[   34.281648] pstate: a0000005 (NzCv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
[   34.281654] pc : _raw_spin_unlock_irq+0x18/0x60
[   34.281669] lr : irq_finalize_oneshot.part.0+0x64/0x100
[   34.281687] sp : ffff000000eb3d90
[   34.281689] x29: ffff000000eb3d90 x28: ffff800008089000 x27: ffff000001ccfb10
[   34.281700] x26: ffff000001ccfadc x25: ffff800008089ee0 x24: ffff000001daee00
[   34.281708] x23: ffff000001ccfa00 x22: ffff000001ccfa60 x21: ffff000001ccfadc
[   34.281715] x20: ffff000001daee00 x19: ffff000001ccfa00 x18: ffff8000091ee000
[   34.281723] x17: 0000000000000000 x16: 0000000000000000 x15: 000000000000003c
[   34.281730] x14: ffffffffffffffff x13: 0000000000000000 x12: 0000000000000000
[   34.281737] x11: ffff000001ccf680 x10: ffff8000091ee000 x9 : 0000000000000000
[   34.281744] x8 : ffff800008b594e8 x7 : 000000000000002b x6 : ffffffffffffffff
[   34.281751] x5 : ffff000001ccfa60 x4 : ffff000001ccfa60 x3 : 0000000000100000
[   34.281760] x2 : ffff800009220000 x1 : ffff0000015d6c00 x0 : 0000000100000001
[   34.281769] Call trace:
[   34.281772]  _raw_spin_unlock_irq+0x18/0x60
[   34.281777]  irq_forced_thread_fn+0x84/0xb0
[   34.281782]  irq_thread+0x12c/0x1d0
[   34.281787]  kthread+0x120/0x12c
[   34.281795]  ret_from_forklf-detected stall on CPU
[   97.284599] rcu: 	0-....: (2 GPs b+0x10/0x20
[   97.284578] rcu: INFO: rcu_preempt seehind) idle=9104/1/0x4000000000000000 softirq=0/0 fqs=19031 rcuc=84110 jiffies(starved)
[   97.284610] 	(t=84003 jiffies g=6881 q=1434 ncpus=4)
[   97.284618] CPU: 0 PID: 137 Comm: irq/289-tidss Tainted: G           O       6.1.46-rt13-BSP_12.4--g17da321871 #1
[   97.284625] Hardware name: Texas Instruments AM625 SK (DT)
[   97.284634] pstate: 80000005 (Nzcv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
[   97.284639] pc : dispc_read_and_clear_irqstatus+0x58/0x1f0
[   97.284656] lr : tidss_irq_handler+0x1c/0x110
[   97.284662] sp : ffff000000eb3d50
[   97.284664] x29: ffff000000eb3d50 x28: ffff800008089000 x27: ffff000001ccfb10
[   97.284674] x26: ffff000001ccfadc x25: ffff000000070000 x24: ffff000001daee00
[   97.284681] x23: ffff000001ccfa00 x22: ffff0000015d6c00 x21: 0000000000000001
[   97.284688] x20: ffff000001ccfa00 x19: 0000000000000000 x18: ffff8000091ee000
[   97.284696] x17: 0000000000000000 x16: 0000000000000000 x15: 000000000000003c
[   97.284706] x14: ffffffffffffffff x13: 0000000000000000 x12: 0000000000000000
[   97.284713] x11: ffff000001ccf680 x10: ffff8000091ee000 x9 : ffff8000091eead8
[   97.284720] x8 : 0000000000000000 x7 : ffff000001ccf680 x6 : ffffffffffffffff
[   97.284727] x5 : ffff00007f668808 x4 : 0000000000000000 x3 : ffff80007674e000
[   97.284734] x2 : ffff80000857fec0 x1 : ffff800009413000 x0 : 0000000000000000
[   97.284743] Call trace:
[   97.284745]  dispc_read_and_clear_irqstatus+0x58/0x1f0
[   97.284751]  tidss_irq_handler+0x1c/0x110
[   97.284756]  irq_forced_thread_fn+0x38/0xb0
[   97.284763]  irq_thread+0x12c/0x1d0
[   97.284767]  kthread+0x120/0x12c
[   97.284776]  ret_from_fork+0x10/0x20
[  160.287579] rcu: INFO: rcu_preempt self-detected stall on CPU
[  160.287609] rcu: 	0-....: (2 GPs behind) idle=9104/1/0x4000000000000000 softirq=0/0 fqs=32851 rcuc=147113 jiffies(starved)
[  160.287620] 	(t=147006 jiffies g=6881 q=1554 ncpus=4)
[  160.287632] CPU: 0 PID: 137 Comm: irq/289-tidss Tainted: G           O       6.1.46-rt13-BSP_12.4--g17da321871 #1
[  160.287639] Hardware name: Texas Instruments AM625 SK (DT)
[  160.287643] pstate: a0000005 (NzCv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
[  160.287648] pc : _raw_spin_unlock_irq+0x10/0x60
[  160.287668] lr : irq_finalize_oneshot.part.0+0x64/0x100
[  160.287676] sp : ffff000000eb3d90
[  160.287678] x29: ffff000000eb3d90 x28: ffff800008089000 x27: ffff000001ccfb10
[  160.287688] x26: ffff000001ccfadc x25: ffff800008089ee0 x24: ffff000001daee00
[  160.287695] x23: ffff000001ccfa00 x22: ffff000001ccfa60 x21: ffff000001ccfadc
[  160.287703] x20: ffff000001daee00 x19: ffff000001ccfa00 x18: ffff8000091ee000
[  160.287710] x17: 0000000000000000 x16: 0000000000000000 x15: 000000000000003c
[  160.287717] x14: ffffffffffffffff x13: 0000000000000000 x12: 0000000000000000
[  160.287724] x11: ffff000001ccf680 x10: ffff8000091ee000 x9 : 0000000000000000
[  160.287731] x8 : ffff800008b594e8 x7 : 000000000000002b x6 : ffffffffffffffff
[  160.287742] x5 : ffff000001ccfa60 x4 : ffff000001ccfa60 x3 : 0000000000100000
[  160.287749] x2 : ffff800009220000 x1 : 0000000000000000 x0 : 00000000000000e0
[  160.287758] Call trace:
[  160.287761]  _raw_spin_unlock_irq+0x10/0x60
[  160.287766]  irq_forced_thread_fn+0x84/0xb0
[  160.287771]  irq_thread+0x12c/0x1d0
[  160.287776]  kthread+0x120/0x12c
[  160.287785]  ret_from_fork+0x10/0x20
[  223.290579] rcu: INFO: rcu_preempt self-detected stall on CPU
[  223.290602] rcu: 	0-....: (2 GPs behind) idle=9104/1/0x4000000000000000 softirq=0/0 fqs=46701 rcuc=210116 jiffies(starved)
[  223.290612] 	(t=210009 jiffies g=6881 q=1580 ncpus=4)
[  223.290622] CPU: 0 PID: 137 Comm: irq/289-tidss Tainted: G           O       6.1.46-rt13-BSP_12.4--g17da321871 #1
[  223.290628] Hardware name: Texas Instruments AM625 SK (DT)
[  223.290632] pstate: 80000005 (Nzcv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
[  223.290639] pc : dispc_read_and_clear_irqstatus+0x58/0x1f0
[  223.290654] lr : tidss_irq_handler+0x1c/0x110
[  223.290664] sp : ffff000000eb3d50
[  223.290666] x29: ffff000000eb3d50 x28: ffff800008089000 x27: ffff000001ccfb10
[  223.290676] x26: ffff000001ccfadc x25: ffff000000070000 x24: ffff000001daee00
[  223.290684] x23: ffff000001ccfa00 x22: ffff0000015d6c00 x21: 0000000000000001
[  223.290691] x20: ffff000001ccfa00 x19: 0000000000000000 x18: ffff8000091ee000
[  223.290698] x17: 0000000000000000 x16: 0000000000000000 x15: 000000000000003c
[  223.290705] x14: ffffffffffffffff x13: 0000000000000000 x12: 0000000000000000
[  223.290712] x11: ffff000001ccf680 x10: ffff8000091ee000 x9 : ffff8000091eead8
[  223.290720] x8 : 0000000000000000 x7 : ffff000001ccf680 x6 : ffffffffffffffff
[  223.290727] x5 : ffff00007f668808 x4 : 0000000000000000 x3 : ffff80007674e000
[  223.290734] x2 : ffff80000857fec0 x1 : ffff800009413000 x0 : 0000000000000000
[  223.290745] Call trace:
[  223.290747]  dispc_read_and_clear_irqstatus+0x58/0x1f0
[  223.290754]  tidss_irq_handler+0x1c/0x110
[  223.290760]  irq_forced_thread_fn+0x38/0xb0
[  223.290766]  irq_thread+0x12c/0x1d0
[  223.290770]  kthread+0x120/0x12c
[  223.290778]  ret_from_fork+0x10/0x20
[  286.293578] rcu: INFO: rcu_preempt self-detected stall on CPU
[  286.293595] rcu: 	0-....: (2 GPs behind) idle=9104/1/0x4000000000000000 softirq=0/0 fqs=60579 rcuc=273119 jiffies(starved)
[  286.293606] 	(t=273012 jiffies g=6881 q=1589 ncpus=4)
[  286.293615] CPU: 0 PID: 137 Comm: irq/289-tidss Tainted: G           O       6.1.46-rt13-BSP_12.4--g17da321871 #1
[  286.293622] Hardware name: Texas Instruments AM625 SK (DT)
[  286.293627] pstate: 60000005 (nZCv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
[  286.293637] pc : dispc_k3_clear_irqstatus+0x188/0x1a0
[  286.293650] lr : dispc_read_and_clear_irqstatus+0xfc/0x1f0
[  286.293656] sp : ffff000000eb3d40
[  286.293658] x29: ffff000000eb3d40 x28: ffff800008089000 x27: ffff000001ccfb10
[  286.293667] x26: ffff000001ccfadc x25: ffff000000070000 x24: ffff000001daee00
[  286.293675] x23: ffff000001ccfa00 x22: ffff0000015d6c00 x21: 0000000000000001
[  286.293683] x20: ffff000001ccfa00 x19: 0000000000000000 x18: ffff8000091ee000
[  286.293690] x17: 0000000000000000 x16: 0000000000000000 x15: 000000000000003c
[  286.293697] x14: ffffffffffffffff x13: 0000000000000000 x12: 0000000000000000
[  286.293707] x11: ffff000001ccf680 x10: ffff8000091ee000 x9 : 0000000000000000
[  286.293715] x8 : ffff800008b594e8 x7 : 000000000000002b x6 : ffffffffffffffff
[  286.293722] x5 : 0000000000000015 x4 : 00000000003fffff x3 : 0000000000000002
[  286.293729] x2 : 000000000000002c x1 : 000000000000002c x0 : 0000000000000002
[  286.293737] Call trace:
[  286.293740]  dispc_k3_clear_irqstatus+0x188/0x1a0
[  286.293747]  dispc_read_and_clear_irqstatus+0xfc/0x1f0
[  286.293753]  tidss_irq_handler+0x1c/0x110
[  286.293758]  irq_forced_thread_fn+0x38/0xb0
[  286.293764]  irq_thread+0x12c/0x1d0
[  286.293769]  kthread+0x120/0x12c
[  286.293776]  ret_from_fork+0x10/0x20

full log :
dmesg_error.txt
U-Boot SPL 2023.04-ga37da23008 (Apr 02 2024 - 05:22:32 +0000)
SYSFW ABI: 3.1 (firmware rev 0x0009 '9.1.8--v09.01.08 (Kool Koala)')
SPL initial stack usage: 13384 bytes
Trying to boot from MMC1
Authentication passed
Authentication passed
Authentication passed
Authentication passed
Authentication passed
Starting ATF on ARM64 core...

NOTICE:  BL31: v2.9(release):v2.9.0-614-gd7a7135d32-dirty
NOTICE:  BL31: Built : 09:34:15, Aug 24 2023

U-Boot SPL 2023.04-ga37da23008 (Apr 02 2024 - 05:22:32 +0000)
SYSFW ABI: 3.1 (firmware rev 0x0009 '9.1.8--v09.01.08 (Kool Koala)')
SPL initial stack usage: 1856 bytes
Error: could not access storage.
Trying to boot from MMC1
Authentication passed
Authentication passed


U-Boot 2023.04-ga37da23008 (Apr 02 2024 - 05:22:32 +0000)

SoC:   AM62X SR1.0 HS-FS
Model: Texas Instruments AM625 SK
DRAM:  2 GiB
Core:  68 devices, 30 uclasses, devicetree: separate
MMC:   mmc@fa10000: 0, mmc@fa00000: 1
Loading Environment from MMC... OK
In:    serial
Out:   serial
Err:   serial
[BSP] gd->boot_dev = 9 
Saving Environment to MMC... Writing to redundant MMC(0)... OK
switch to partitions #0, OK
mmc0(part 0) is current device
SD/MMC found on device 0
Running eMMC boot ...
574 bytes read in 15 ms (37.1 KiB/s)
EMMC Loaded env from uEnv.txt
Importing environment from mmc0 ...
18477568 bytes read in 216 ms (81.6 MiB/s)
62950 bytes read in 16 ms (3.8 MiB/s)
Working FDT set to 88000000
## Flattened Device Tree blob at 88000000
   Booting using the fdt blob at 0x88000000
Working FDT set to 88000000
ERROR: reserving fdt memory region failed (addr=ff700000 size=8ca000 flags=4)
   Loading Device Tree to 000000008feed000, end 000000008fffffff ... OK
Working FDT set to 8feed000

Starting kernel ...

[    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
[    0.000000] Linux version 6.1.46-rt13-BSP_12.4--g17da321871 (oe-user@oe-host) (aarch64-oe-linux-gcc (GCC) 11.4.0, GNU ld (GNU Binutils) 2.38.20220708) #1 SMP PREEMPT_RT Tue Apr 23 02:09:43 UTC 2024
[    0.000000] Machine model: Texas Instruments AM625 SK
[    0.000000] earlycon: ns16550a0 at MMIO32 0x0000000002800000 (options '')
[    0.000000] printk: bootconsole [ns16550a0] enabled
[    0.000000] efi: UEFI not found.
[    0.000000] Reserved memory: created CMA memory pool at 0x00000000f7600000, size 128 MiB
[    0.000000] OF: reserved mem: initialized node linux,cma, compatible id shared-dma-pool
[    0.000000] Reserved memory: created DMA memory pool at 0x000000009c800000, size 3 MiB
[    0.000000] OF: reserved mem: initialized node ipc-memories@9c800000, compatible id shared-dma-pool
[    0.000000] Reserved memory: created DMA memory pool at 0x000000009cb00000, size 1 MiB
[    0.000000] OF: reserved mem: initialized node m4f-dma-memory@9cb00000, compatible id shared-dma-pool
[    0.000000] Reserved memory: created DMA memory pool at 0x000000009cc00000, size 14 MiB
[    0.000000] OF: reserved mem: initialized node m4f-memory@9cc00000, compatible id shared-dma-pool
[    0.000000] Reserved memory: created DMA memory pool at 0x000000009da00000, size 1 MiB
[    0.000000] OF: reserved mem: initialized node r5f-dma-memory@9da00000, compatible id shared-dma-pool
[    0.000000] Reserved memory: created DMA memory pool at 0x000000009db00000, size 12 MiB
[    0.000000] OF: reserved mem: initialized node r5f-memory@9db00000, compatible id shared-dma-pool
[    0.000000] Zone ranges:
[    0.000000]   DMA      [mem 0x0000000080000000-0x00000000ffffffff]
[    0.000000]   DMA32    empty
[    0.000000]   Normal   empty
[    0.000000] Movable zone start for each node
[    0.000000] Early memory node ranges
[    0.000000]   node   0: [mem 0x0000000080000000-0x000000009c7fffff]
[    0.000000]   node   0: [mem 0x000000009c800000-0x000000009e6fffff]
[    0.000000]   node   0: [mem 0x000000009e700000-0x000000009e77ffff]
[    0.000000]   node   0: [mem 0x000000009e780000-0x000000009fffffff]
[    0.000000]   node   0: [mem 0x00000000a0000000-0x00000000ff6fffff]
[    0.000000]   node   0: [mem 0x00000000ff700000-0x00000000fffc9fff]
[    0.000000]   node   0: [mem 0x00000000fffca000-0x00000000ffffffff]
[    0.000000] Initmem setup node 0 [mem 0x0000000080000000-0x00000000ffffffff]
[    0.000000] psci: probing for conduit method from DT.
[    0.000000] psci: PSCIv1.1 detected in firmware.
[    0.000000] psci: Using standard PSCI v0.2 function IDs
[    0.000000] psci: Trusted OS migration not required
[    0.000000] psci: SMC Calling Convention v1.4
[    0.000000] percpu: Embedded 21 pages/cpu s45952 r8192 d31872 u86016
[    0.000000] pcpu-alloc: s45952 r8192 d31872 u86016 alloc=21*4096
[    0.000000] pcpu-alloc: [0] 0 [0] 1 [0] 2 [0] 3 
[    0.000000] Detected VIPT I-cache on CPU0
[    0.000000] CPU features: detected: GIC system register CPU interface
[    0.000000] CPU features: kernel page table isolation disabled by kernel configuration
[    0.000000] CPU features: detected: ARM erratum 845719
[    0.000000] alternatives: applying boot alternatives
[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 516096
[    0.000000] Kernel command line: console=ttyS2,115200n8 earlycon=ns16550a,mmio32,0x02800000 mtdparts=spi-nand0:512k(ospi.tiboot3),2m(ospi.tispl),4m(ospi.u-boot),256k(ospi.env),256k(ospi.env.backup),98048k@32m(ospi.rootfs),256k@130816k(ospi.phypattern);omap2-nand.0:2m(NAND.tiboot3),2m(NAND.tispl),2m(NAND.tiboot3.backup),4m(NAND.u-boot),256k(NAND.u-boot-env),256k(NAND.u-boot-env.backup),-(NAND.file-system) root=PARTUUID=2bd9f996-ba91-4516-be87-edd972d88e33 rw rootfstype=ext4 rootwait systemd.show_status=no loglevel=8 syntec_extend=
[    0.000000] Unknown kernel command line parameters "syntec_extend=", will be passed to user space.
[    0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
[    0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
[    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
[    0.000000] Memory: 1844132K/2097152K available (10752K kernel code, 1212K rwdata, 4084K rodata, 1856K init, 424K bss, 121948K reserved, 131072K cma-reserved)
[    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=4, Nodes=1
[    0.000000] rcu: Preemptible hierarchical RCU implementation.
[    0.000000] rcu: 	RCU restricting CPUs from NR_CPUS=256 to nr_cpu_ids=4.
[    0.000000] rcu: 	RCU_SOFTIRQ processing moved to rcuc kthreads.
[    0.000000] 	No expedited grace period (rcu_normal_after_boot).
[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 100 jiffies.
[    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[    0.000000] GICv3: GIC: Using split EOI/Deactivate mode
[    0.000000] GICv3: 256 SPIs implemented
[    0.000000] GICv3: 0 Extended SPIs implemented
[    0.000000] Root IRQ handler: gic_handle_irq
[    0.000000] GICv3: GICv3 features: 16 PPIs
[    0.000000] GICv3: CPU0: found redistributor 0 region 0:0x0000000001880000
[    0.000000] ITS [mem 0x01820000-0x0182ffff]
[    0.000000] GIC: enabling workaround for ITS: Socionext Synquacer pre-ITS
[    0.000000] ITS@0x0000000001820000: Devices Table too large, reduce ids 20->19
[    0.000000] ITS@0x0000000001820000: allocated 524288 Devices @80800000 (flat, esz 8, psz 64K, shr 0)
[    0.000000] ITS: using cache flushing for cmd queue
[    0.000000] GICv3: using LPI property table @0x0000000080020000
[    0.000000] GIC: using cache flushing for LPI property table
[    0.000000] GICv3: CPU0: using allocated LPI pending table @0x0000000080030000
[    0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention.
[    0.000000] arch_timer: cp15 timer(s) running at 200.00MHz (phys).
[    0.000000] clocksource: arch_sys_counter: mask: 0x3ffffffffffffff max_cycles: 0x2e2049d3e8, max_idle_ns: 440795210634 ns
[    0.000000] sched_clock: 58 bits at 200MHz, resolution 5ns, wraps every 4398046511102ns
[    0.000238] Console: colour dummy device 80x25
[    0.528860] Calibrating delay loop (skipped), value calculated using timer frequency.. 400.00 BogoMIPS (lpj=200000)
[    0.528870] pid_max: default: 32768 minimum: 301
[    0.528954] LSM: Security Framework initializing
[    0.529078] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
[    0.529115] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
[    0.530806] rcu: Hierarchical SRCU implementation.
[    0.530814] rcu: 	Max phase no-delay instances is 400.
[    0.530859] printk: bootconsole [ns16550a0] printing thread started
[    0.583325] Platform MSI: msi-controller@1820000 domain created
[    0.583554] PCI/MSI: /bus@f0000/interrupt-controller@1800000/msi-controller@1820000 domain created
[    0.583641] EFI services will not be available.
[    0.583895] smp: Bringing up secondary CPUs ...
[    0.584673] Detected VIPT I-cache on CPU1
[    0.584783] GICv3: CPU1: found redistributor 1 region 0:0x00000000018a0000
[    0.584800] GICv3: CPU1: using allocated LPI pending table @0x0000000080040000
[    0.584856] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
[    0.634936] Detected VIPT I-cache on CPU2
[    0.635028] GICv3: CPU2: found redistributor 2 region 0:0x00000000018c0000
[    0.635040] GICv3: CPU2: using allocated LPI pending table @0x0000000080050000
[    0.635073] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
[    0.660956] Detected VIPT I-cache on CPU3
[    0.661045] GICv3: CPU3: found redistributor 3 region 0:0x00000000018e0000
[    0.661058] GICv3: CPU3: using allocated LPI pending table @0x0000000080060000
[    0.661089] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
[    0.661152] smp: Brought up 1 node, 4 CPUs
[    0.661158] SMP: Total of 4 processors activated.
[    0.695342] CPU features: detected: 32-bit EL0 Support
[    0.695345] CPU features: detected: CRC32 instructions
[    0.695396] CPU: All CPU(s) started at EL2
[    0.695398] alternatives: applying system-wide alternatives
[    0.696873] devtmpfs: initialized
[    0.708270] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 1911260446275000 ns
[    0.708299] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
[    0.713493] pinctrl core: initialized pinctrl subsystem
[    0.714295] DMI not present or invalid.
[    0.714808] NET: Registered PF_NETLINK/PF_ROUTE protocol family
[    0.728208] DMA: preallocated 256 KiB GFP_KERNEL pool for atomic allocations
[    0.728504] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations
[    0.728694] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
[    0.728786] audit: initializing netlink subsys (disabled)
[    0.728942] audit: type=2000 audit(0.726:1): state=initialized audit_enabled=0 res=1
[    0.729570] thermal_sys: Registered thermal governor 'step_wise'
[    0.729574] thermal_sys: Registered thermal governor 'power_allocator'
[    0.729825] ASID allocator initialised with 65536 entries
[    0.744707] platform 30200000.dss: Fixed dependency cycle(s) with /display0
[    0.753789] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages
[    0.753800] HugeTLB: 0 KiB vmemmap can be freed for a 1.00 GiB page
[    0.753804] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages
[    0.753807] HugeTLB: 0 KiB vmemmap can be freed for a 32.0 MiB page
[    0.753810] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages
[    0.753813] HugeTLB: 0 KiB vmemmap can be freed for a 2.00 MiB page
[    0.753817] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages
[    0.753820] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page
[    0.759777] k3-chipinfo 43000014.chipid: Family:AM62X rev:SR1.0 JTAGID[0x0bb7e02f] Detected
[    0.761914] iommu: Default domain type: Translated 
[    0.761924] iommu: DMA domain TLB invalidation policy: strict mode 
[    0.762282] SCSI subsystem initialized
[    0.762399] libata version 3.00 loaded.
[    0.762625] usbcore: registered new interface driver usbfs
[    0.762664] usbcore: registered new interface driver hub
[    0.762691] usbcore: registered new device driver usb
[    0.763034] pps_core: LinuxPPS API ver. 1 registered
[    0.763037] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[    0.763052] PTP clock support registered
[    0.763199] EDAC MC: Ver: 3.0.0
[    0.764034] omap-mailbox 29000000.mailbox: omap mailbox rev 0x66fc9100
[    0.764404] FPGA manager framework
[    0.764504] Advanced Linux Sound Architecture Driver Initialized.
[    0.765341] vgaarb: loaded
[    0.765587] clocksource: Switched to clocksource arch_sys_counter
[    0.765818] VFS: Disk quotas dquot_6.6.0
[    0.765854] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[    0.772355] NET: Registered PF_INET protocol family
[    0.772668] IP idents hash table entries: 32768 (order: 6, 262144 bytes, linear)
[    0.774397] tcp_listen_portaddr_hash hash table entries: 1024 (order: 3, 40960 bytes, linear)
[    0.774453] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear)
[    0.774467] TCP established hash table entries: 16384 (order: 5, 131072 bytes, linear)
[r   0.774701] TCP bind hash table entries: 16384 (order: 8, 1310720 bytes, linear)
[  [  1.042393] printk: console [ttyS2] printing thread started
    0.776458] TCP: Hash tables configured (established 16384 bind 16384)
[    1.042412] printk: console [ttyS2] enabled
[    1.042416] printk: bootconsole [ns16550a0] disabled
[    1.054631] printk: bootconsole [ns16550a0] printing thread stopped
[    1.055873] 2810000.serial: ttyS1 at MMIO 0x2810000 (irq = 288, base_baud = 3000000) is a 8250
[    1.059914] [drm] Initialized tidss 1.0.0 20180215 for 30200000.dss on minor 0
[    1.064881] Console: switching to colour dummy device 80x25
[    1.107066] Console: switching to colour frame buffer device 171x48
[    1.123722] tidss 30200000.dss: [drm] fb0: tidssdrmfb frame buffer device
[    1.125660] davinci_mdio 8000f00.mdio: Configuring MDIO in manual mode
[    1.159619] davinci_mdio 8000f00.mdio: davinci mdio revision 9.7, bus freq 1000000
[    1.159907] mdio_bus 8000f00.mdio: MDIO device at address 0 is missing.
[    1.161029] davinci_mdio 8000f00.mdio: phy[3]: device 8000f00.mdio:03, driver unknown
[    1.161099] am65-cpsw-nuss 8000000.ethernet: initializing am65 cpsw nuss version 0x6BA01103, cpsw version 0x6BA81103 Ports: 3 quirks:00000006
[    1.161352] am65-cpsw-nuss 8000000.ethernet: Use random MAC address
[    1.161371] am65-cpsw-nuss 8000000.ethernet: initialized cpsw ale version 1.5
[    1.161376] am65-cpsw-nuss 8000000.ethernet: ALE Table size 512
[    1.162189] am65-cpsw-nuss 8000000.ethernet: CPTS ver 0x4e8a010c, freq:500000000, add_val:1 pps:0
[    1.182752] am65-cpsw-nuss 8000000.ethernet: set new flow-id-base 19
[   1.188374] mmc1: CQHCI version 5.10
[    1.188999] mmc0: CQHCI version 5.10
[    1.189033] mmc2: CQHCI version 5.10
[    1.189330] physmap-flash 50000000.fpgaBus: physmap platform flash device: [mem 0x50000000-0x50ffffff]
[    1.192406] physmap-flash 51000000.fpgaBus: physmap platform flash device: [mem 0x51000000-0x51ffffff]
[    1.194811] physmap-flash 52000000.fpgaBus: physmap platform flash device: [mem 0x52000000-0x52ffffff]
[    1.205046] debugfs: Directory 'pd:182' with parent 'pm_genpd' already present!
[    1.207751] debugfs: Directory 'pd:186' with parent 'pm_genpd' already present!
[    1.220511] ALSA device list:
[    1.220523]   No soundcards found.
[    1.226094] mmc0: SDHCI controller on fa10000.mmc [fa10000.mmc] using ADMA 64-bit
[    1.230489] mmc2: SDHCI controller on fa20000.mmc [fa20000.mmc] using ADMA 64-bit
[    1.238651] mmc1: SDHCI controller on fa00000.mmc [fa00000.mmc] using ADMA 64-bit
[    1.240737] Waiting for root device PARTUUID=2bd9f996-ba91-4516-be87-edd972d88e33...
[    1.304478] mmc0: Command Queue Engine enabled
[    1.304502] mmc0: new HS200 MMC card at address 0001
[    1.305286] mmcblk0: mmc0:0001 016GB0 14.7 GiB 
[    1.309683]  mmcblk0: p1 p2 p3 p4 p5
[    1.310841] mmcblk0boot0: mmc0:0001 016GB0 4.00 MiB 
[    1.312164] mmcblk0boot1: mmc0:0001 016GB0 4.00 MiB 
[    1.313283] mmcblk0rpmb: mmc0:0001 016GB0 4.00 MiB, chardev (241:0)
[    1.334639] EXT4-fs (mmcblk0p4): recovery complete
[    1.335050] EXT4-fs (mmcblk0p4): mounted filesystem with ordered data mode. Quota mode: none.
[    1.335211] VFS: Mounted root (ext4 filesystem) on device 179:4.
[    1.335369] devtmpfs: mounted
[    1.336835] Freeing unused kernel memory: 1856K
[    1.336962] Run /sbin/init as init process
[    1.336966]   with arguments:
[    1.336967]     /sbin/init
[    1.336969]   with environment:
[    1.336971]     HOME=/
[    1.336972]     TERM=linux
[    1.336974]     syntec_extend=
[    1.347275] mmc1: new MMC card at address 0001
[    1.348277] mmcblk1: mmc1:0001 004GA0 3.69 GiB 
[    1.350655]  mmcblk1: p1
[    1.351425] mmcblk1boot0: mmc1:0001 004GA0 2.00 MiB 
[    1.353329] mmcblk1boot1: mmc1:0001 004GA0 2.00 MiB 
[    1.354937] mmcblk1rpmb: mmc1:0001 004GA0 512 KiB, chardev (241:1)
[    1.464676] systemd[1]: System time before build time, advancing clock.
[    1.490854] NET: Registered PF_INET6 protocol family
[    1.492130] Segment Routing with IPv6
[    1.492163] In-situ OAM (IOAM) with IPv6
[    1.505969] systemd[1]: systemd 250.5+ running in system mode (+PAM -AUDIT -SELINUX -APPARMOR +IMA -SMACK +SECCOMP -GCRYPT -GNUTLS -OPENSSL +ACL +BLKID -CURL -ELFUTILS -FIDO2 -IDN2 -IDN -IPTC +KMOD -LIBCRYPTSETUP +LIBFDISK -PCRE2 -PWQUALITY -P11KIT -QRENCODE -BZIP2 -LZ4 -XZ -ZLIB +ZSTD -BPF_FRAMEWORK +XKBCOMMON +UTMP +SYSVINIT default-hierarchy=hybrid)
[    1.506491] systemd[1]: Detected architecture arm64.
[    1.509008] systemd[1]: Hostname set to <am62xx-evm>.
[    1.639221] systemd-sysv-generator[182]: SysV service '/etc/init.d/thermal-zone-init' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust.
[    1.953335] systemd[1]: Configuration file /etc/systemd/system/syntec-rename-network.service is marked executable. Please remove executable permission bits. Proceeding anyway.
[    1.956253] systemd[1]: /etc/systemd/system/sync-clocks.service:11: Standard output type syslog is obsolete, automatically updating to journal. Please update your unit file, and consider removing the setting altogether.
[    2.019553] systemd[1]: Queued start job for default target Graphical Interface.
[    2.023037] systemd[1]: Created slice Slice /system/modprobe.
[    2.024657] systemd[1]: Created slice Slice /system/serial-getty.
[    2.025173] systemd[1]: Created slice User and Session Slice.
[    2.025530] systemd[1]: Started Dispatch Password Requests to Console Directory Watch.
[    2.025780] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
[    2.026174] systemd[1]: Reached target Path Units.
[    2.026275] systemd[1]: Reached target Remote File Systems.
[    2.026341] systemd[1]: Reached target Slice Units.
[    2.026434] systemd[1]: Reached target Swaps.
[    2.031173] systemd[1]: Listening on RPCbind Server Activation Socket.
[    2.031344] systemd[1]: Reached target RPC Port Mapper.
[    2.037625] systemd[1]: Listening on Process Core Dump Socket.
[    2.038081] systemd[1]: Listening on initctl Compatibility Named Pipe.
[    2.038903] systemd[1]: Listening on Journal Audit Socket.
[    2.039489] systemd[1]: Listening on Journal Socket (/dev/log).
[    2.040135] systemd[1]: Listening on Journal Socket.
[    2.040953] systemd[1]: Listening on Network Service Netlink Socket.
[    2.042841] systemd[1]: Listening on udev Control Socket.
[    2.043356] systemd[1]: Listening on udev Kernel Socket.
[    2.043864] systemd[1]: Listening on User Database Manager Socket.
[    2.081231] systemd[1]: Mounting Huge Pages File System...
[    2.085314] systemd[1]: Mounting POSIX Message Queue File System...
[    2.089728] systemd[1]: Mounting Kernel Debug File System...
[    2.090513] systemd[1]: Kernel Trace File System was skipped because of a failed condition check (ConditionPathExists=/sys/kernel/tracing).
[    2.092257] systemd[1]: tmp.mount: Directory /tmp to mount over is not empty, mounting anyway.
[    2.095872] systemd[1]: Mounting Temporary Directory /tmp...
[    2.101514] systemd[1]: Starting Create List of Static Device Nodes...
[    2.110335] systemd[1]: Starting Load Kernel Module configfs...
[    2.115901] systemd[1]: Starting Load Kernel Module drm...
[    2.121022] systemd[1]: Starting Load Kernel Module fuse...
[    2.127966] systemd[1]: Starting RPC Bind...
[    2.128475] systemd[1]: File System Check on Root Device was skipped because of a failed condition check (ConditionPathIsReadWrite=!/).
[    2.130161] systemd[1]: systemd-journald.service: unit configures an IP firewall, but the local system does not support BPF/cgroup firewalling.
[    2.130189] systemd[1]: (This warning is only shown for the first unit using IP firewalling.)
[    2.134019] systemd[1]: Starting Journal Service...
[    2.136028] fuse: init (API version 7.37)
[    2.141463] systemd[1]: Starting Load Kernel Modules...
[    2.146331] systemd[1]: Starting Generate network units from Kernel command line...
[    2.156354] systemd[1]: Starting Remount Root and Kernel File Systems...
[    2.162104] systemd[1]: Starting Coldplug All udev Devices...
[    2.168176] systemd[1]: Starting Setup Virtual Console...
[    2.186519] cryptodev: loading out-of-tree module taints kernel.
[    2.190881] cryptodev: driver 1.12 loaded.
[    2.196773] systemd[1]: Started RPC Bind.
[    2.197725] systemd[1]: Mounted Huge Pages File System.
[    2.198449] systemd[1]: Mounted POSIX Message Queue File System.
[    2.199084] systemd[1]: Mounted Kernel Debug File System.
[    2.199664] systemd[1]: Mounted Temporary Directory /tmp.
[    2.201071] systemd[1]: Finished Create List of Static Device Nodes.
[    2.202765] systemd[1]: modprobe@configfs.service: Deactivated successfully.
[    2.203487] systemd[1]: Finished Load Kernel Module configfs.
[    2.205137] systemd[1]: modprobe@drm.service: Deactivated successfully.
[    2.205867] systemd[1]: Finished Load Kernel Module drm.
[    2.207328] systemd[1]: modprobe@fuse.service: Deactivated successfully.
[    2.208223] systemd[1]: Finished Load Kernel Module fuse.
[    2.209704] systemd[1]: Finished Load Kernel Modules.
[    2.211178] systemd[1]: Finished Generate network units from Kernel command line.
[    2.228257] systemd[1]: Mounting FUSE Control File System...
[    2.234386] EXT4-fs (mmcblk0p4): re-mounted. Quota mode: none.
[    2.244910] systemd[1]: Mounting Kernel Configuration File System...
[    2.252721] systemd[1]: Starting Apply Kernel Variables...
[    2.272991] systemd[1]: Finished Remount Root and Kernel File Systems.
[    2.276441] systemd[1]: Finished Setup Virtual Console.
[    2.277413] systemd[1]: Mounted FUSE Control File System.
[    2.278196] systemd[1]: Mounted Kernel Configuration File System.
[    2.283987] systemd[1]: Rebuild Hardware Database was skipped because of a failed condition check (ConditionNeedsUpdate=/etc).
[    2.284314] systemd[1]: Platform Persistent Storage Archival was skipped because of a failed condition check (ConditionDirectoryNotEmpty=/sys/fs/pstore).
[    2.284777] systemd[1]: Create System Users was skipped because of a failed condition check (ConditionNeedsUpdate=/etc).
[    2.299862] systemd[1]: Starting Create Static Device Nodes in /dev...
[    2.301520] systemd[1]: Started Journal Service.
[    2.338461] systemd-journald[192]: Received client request to flush runtime journal.
[    2.733641] random: crng init done
[    2.880263] mc: Linux media interface: v0.10
[    2.887760] rtc-s35390a 1-0030: registered as rtc0
[    2.888861] rtc-s35390a 1-0030: setting system clock to 2024-07-30T09:59:39 UTC (1722333579)
[    2.889635] systemd-journald[192]: Oldest entry in /run/log/journal/78255e5166e54a0298aad2c717ea6678/system.journal is older than the configured file retention duration (1month), suggesting rotation.
[    2.889666] systemd-journald[192]: /run/log/journal/78255e5166e54a0298aad2c717ea6678/system.journal: Journal header limits reached or header out-of-date, rotating.
[    2.890154] fram@0 enforce active low on chipselect handle
[    2.893275] systemd-journald[192]: Oldest entry in /run/log/journal/78255e5166e54a0298aad2c717ea6678/system.journal is older than the configured file retention duration (1month), suggesting rotation.
[    2.893298] systemd-journald[192]: /run/log/journal/78255e5166e54a0298aad2c717ea6678/system.journal: Journal header limits reached or header out-of-date, rotating.
[    2.930963] videodev: Linux video capture interface: v2.00
[    3.020325] k3-m4-rproc 5000000.m4fss: assigned reserved memory node m4f-dma-memory@9cb00000
[    3.020960] k3-m4-rproc 5000000.m4fss: configured M4 for remoteproc mode
[    3.021686] k3-m4-rproc 5000000.m4fss: local reset is deasserted for device
[    3.022864] remoteproc remoteproc0: 5000000.m4fss is available
[    3.024868] remoteproc remoteproc0: Direct firmware load for am62-mcu-m4f0_0-fw failed with error -2
[    3.024906] remoteproc remoteproc0: powering up 5000000.m4fss
[    3.024954] remoteproc remoteproc0: Direct firmware load for am62-mcu-m4f0_0-fw failed with error -2
[    3.024962] remoteproc remoteproc0: request_firmware failed: -2
[    3.197746] platform 78000000.r5f: R5F core may have been powered on by a different host, programmed state (0) != actual state (1)
[    3.204797] platform 31000000.usb: Fixed dependency cycle(s) with /bus@f0000/i2c@20000000/tps6598x@3f/connector
[    3.206785] platform 78000000.r5f: configured R5F for IPC-only mode
[    3.207063] platform 78000000.r5f: assigned reserved memory node r5f-dma-memory@9da00000
[    3.207523] remoteproc remoteproc1: 78000000.r5f is available
[    3.207704] remoteproc remoteproc1: attaching to 78000000.r5f
[    3.231294] platform 78000000.r5f: R5F core initialized in IPC-only mode
[    3.231343] rproc-virtio rproc-virtio.2.auto: assigned reserved memory node r5f-dma-memory@9da00000
[    3.232510] virtio_rpmsg_bus virtio0: rpmsg host is online
[    3.232562] rproc-virtio rproc-virtio.2.auto: registered virtio0 (type 7)
[    3.232570] remoteproc remoteproc1: remote processor 78000000.r5f is now attached
[    3.237812] virtio_rpmsg_bus virtio0: creating channel ti.ipc4.ping-pong addr 0xd
[    3.239665] virtio_rpmsg_bus virtio0: creating channel rpmsg_chrdev addr 0xe
[    3.241961] rtc-ti-k3 2b1f0000.rtc: registered as rtc1
[    3.370033] Init FPGA interrupt driver (1.0)
[    3.370877] Get kick_gpio number= (418)
[    3.977830] fm25_syntec spi2.0: 32 KByte fm25 fram, pagesize 4096
[    4.147279] remoteproc remoteproc2: 30074000.pru is available
[    4.149240] remoteproc remoteproc3: 30078000.pru is available
[    4.365457] xhci-hcd xhci-hcd.4.auto: xHCI Host Controller
[    4.365515] xhci-hcd xhci-hcd.4.auto: new USB bus registered, assigned bus number 1
[    4.366843] cdns-csi2rx: probe of 30101000.csi-bridge failed with error -22
[    4.371110] xhci-hcd xhci-hcd.4.auto: USB3 root hub has no ports
[    4.371135] xhci-hcd xhci-hcd.4.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000000000010010
[    4.384747] xhci-hcd xhci-hcd.4.auto: irq 468, io mem 0x31100000
[    4.385984] hub 1-0:1.0: USB hub found
[    4.386037] hub 1-0:1.0: 1 port detected
[    4.627740] usb 1-1: new high-speed USB device number 2 using xhci-hcd
[    4.801426] hub 1-1:1.0: USB hub found
[    4.807069] hub 1-1:1.0: 4 ports detected
[    4.919862] cfg80211: Loading compiled-in X.509 certificates for regulatory database
[    4.985409] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
[    4.986545] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[    4.986658] cfg80211: failed to load regulatory.db
[    5.095040] am65-cpsw-nuss 8000000.ethernet eth0: PHY [8000f00.mdio:03] driver [Generic PHY] (irq=POLL)
[    5.095076] am65-cpsw-nuss 8000000.ethernet eth0: configuring for phy/rmii link mode
[    5.257698] usb 1-1.3: new high-speed USB device number 3 using xhci-hcd
[    5.276841] EXT4-fs (mmcblk0p1): recovery complete
[    5.276878] EXT4-fs (mmcblk0p1): mounted filesystem with ordered data mode. Quota mode: none.
[    5.292522] EXT4-fs (mmcblk0p2): recovery complete
[    5.292560] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Quota mode: none.
[    5.505516] hub 1-1.3:1.0: USB hub found
[    5.507687] EXT4-fs (mmcblk0p3): recovery complete
[    5.507733] EXT4-fs (mmcblk0p3): mounted filesystem with ordered data mode. Quota mode: none.
[    5.509282] hub 1-1.3:1.0: 4 ports detected
[    5.509562] EXT4-fs (mmcblk0p5): Using encoding defined by superblock: utf8-12.1.0 with flags 0x1
[    5.572824] EXT4-fs (mmcblk0p5): warning: mounting fs with errors, running e2fsck is recommended
[    5.575077] EXT4-fs (mmcblk0p5): recovery complete
[    5.576178] EXT4-fs (mmcblk0p5): mounted filesystem with ordered data mode. Quota mode: none.
[    5.802108] am65-cpsw-nuss 8000000.ethernet lan1: renamed from eth0
[    5.828023] am65-cpsw-nuss 8000000.ethernet lan1: PHY [8000f00.mdio:03] driver [Generic PHY] (irq=POLL)
[    5.828058] am65-cpsw-nuss 8000000.ethernet lan1: configuring for phy/rmii link mode
[    5.889654] usb 1-1.3.1: new low-speed USB device number 4 using xhci-hcd
[    5.900381] EXT4-fs (mmcblk1p1): Using encoding defined by superblock: utf8-12.1.0 with flags 0x1
[    5.953213] EXT4-fs (mmcblk1p1): recovery complete
[    5.953980] EXT4-fs (mmcblk1p1): mounted filesystem with ordered data mode. Quota mode: none.
[    6.162350] usbcore: registered new interface driver usbhid
[    6.162372] usbhid: USB HID core driver
[    6.178639] input: USB OPTICAL MOUSE  as /devices/platform/bus@f0000/f910000.dwc3-usb/31100000.usb/xhci-hcd.4.auto/usb1/1-1/1-1.3/1-1.3.1/1-1.3.1:1.0/0003:30FA:0301.0001/input/input0
[    6.180337] hid-generic 0003:30FA:0301.0001: input,hidraw0: USB HID v1.11 Mouse [USB OPTICAL MOUSE ] on usb-xhci-hcd.4.auto-1.3.1/input0
[    6.313670] usb 1-1.3.3: new low-speed USB device number 5 using xhci-hcd
[    6.600563] input: Logitech USB Keyboard as /devices/platform/bus@f0000/f910000.dwc3-usb/31100000.usb/xhci-hcd.4.auto/usb1/1-1/1-1.3/1-1.3.3/1-1.3.3:1.0/0003:046D:C31C.0002/input/input1
[    6.653947] hid-generic 0003:046D:C31C.0002: input,hidraw1: USB HID v1.10 Keyboard [Logitech USB Keyboard] on usb-xhci-hcd.4.auto-1.3.3/input0
[    6.670340] input: Logitech USB Keyboard Consumer Control as /devices/platform/bus@f0000/f910000.dwc3-usb/31100000.usb/xhci-hcd.4.auto/usb1/1-1/1-1.3/1-1.3.3/1-1.3.3:1.1/0003:046D:C31C.0003/input/input2
***************************************************************
***************************************************************
NOTICE: This file system contains the following GPL-3.0 packages:
	adwaita-icon-theme-symbolic
	bash
	cifs-utils
	dosfsto[    6.722139] input: Logitech USB Keyboard System Control as /devices/platform/bus@f0000/f910000.dwc3-usb/31100000.usb/xhci-hcd.4.auto/usb1/1-1/1-1.3/1-1.3.3/1-1.3.3:1.1/0003:046D:C31C.0003/input/input3
ols
	grub-common
	grub-editenv
	grub-efi
	less
	lib32-libgc[    6.722530] hid-generic 0003:046D:C31C.0003: input,hidraw2: USB HID v1.10 Device [Logitech USB Keyboard] on usb-xhci-hcd.4.auto-1.3.3/input1
c1
	lib32-libstdc++6
	libdw1
	libelf1
	libgcc1
	libgdbm-compat4
	libgdbm6
	libgmp10
	libidn2-0
	libreadline8
	libstdc++6
	libunistring2
	libvte-2.91-0
	nettle
	onboard
	parted
	rxvt-unicode

If you do not wish to distribute GPL-3.0 components please remove
the above packages prior to distribution.  This can be done using
the opkg remove command.  i.e.:
    opkg remove <package>
Where <package> is the name printed in the list above

NOTE: If the package is a dependency of another package you
      will be notified of the dependent packages.  You should
      use the --force-removal-of-dependent-packages option to
      also remove the dependent packages as well
***************************************************************
***************************************************************
[    7.232256] am65-cpsw-nuss 8000000.ethernet lan1: PHY [8000f00.mdio:03] driver [Generic PHY] (irq=POLL)
[    7.232288] am65-cpsw-nuss 8000000.ethernet lan1: configuring for phy/rmii link mode


 _____                    _____           _         _   
|  _  |___ ___ ___ ___   |  _  |___ ___  |_|___ ___| |_ 
|     |  _| .'| . | . |  |   __|  _| . | | | -_|  _|  _|
|__|__|_| |__,|_  |___|  |__|  |_| |___|_| |___|___|_|  
              |___|                    |___|            

Arago Project am62xx-evm -

Arago 2023.10 am62xx-evm -

am62xx-evm login: 
[   34.281580] rcu: INFO: rcu_preempt self-detected stall on CPU
[   34.281611] rcu: 	0-....: (2 GPs behind) idle=9104/1/0x4000000000000000 softirq=0/0 fqs=4819 rcuc=21107 jiffies(starved)
[   34.281624] 	(t=21000 jiffies g=6881 q=1364 ncpus=4)
[   34.281637] CPU: 0 PID: 137 Comm: irq/289-tidss Tainted: G           O       6.1.46-rt13-BSP_12.4--g17da321871 #1
[   34.281643] Hardware name: Texas Instruments AM625 SK (DT)
[   34.281648] pstate: a0000005 (NzCv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
[   34.281654] pc : _raw_spin_unlock_irq+0x18/0x60
[   34.281669] lr : irq_finalize_oneshot.part.0+0x64/0x100
[   34.281687] sp : ffff000000eb3d90
[   34.281689] x29: ffff000000eb3d90 x28: ffff800008089000 x27: ffff000001ccfb10
[   34.281700] x26: ffff000001ccfadc x25: ffff800008089ee0 x24: ffff000001daee00
[   34.281708] x23: ffff000001ccfa00 x22: ffff000001ccfa60 x21: ffff000001ccfadc
[   34.281715] x20: ffff000001daee00 x19: ffff000001ccfa00 x18: ffff8000091ee000
[   34.281723] x17: 0000000000000000 x16: 0000000000000000 x15: 000000000000003c
[   34.281730] x14: ffffffffffffffff x13: 0000000000000000 x12: 0000000000000000
[   34.281737] x11: ffff000001ccf680 x10: ffff8000091ee000 x9 : 0000000000000000
[   34.281744] x8 : ffff800008b594e8 x7 : 000000000000002b x6 : ffffffffffffffff
[   34.281751] x5 : ffff000001ccfa60 x4 : ffff000001ccfa60 x3 : 0000000000100000
[   34.281760] x2 : ffff800009220000 x1 : ffff0000015d6c00 x0 : 0000000100000001
[   34.281769] Call trace:
[   34.281772]  _raw_spin_unlock_irq+0x18/0x60
[   34.281777]  irq_forced_thread_fn+0x84/0xb0
[   34.281782]  irq_thread+0x12c/0x1d0
[   34.281787]  kthread+0x120/0x12c
[   34.281795]  ret_from_forklf-detected stall on CPU
[   97.284599] rcu: 	0-....: (2 GPs b+0x10/0x20
[   97.284578] rcu: INFO: rcu_preempt seehind) idle=9104/1/0x4000000000000000 softirq=0/0 fqs=19031 rcuc=84110 jiffies(starved)
[   97.284610] 	(t=84003 jiffies g=6881 q=1434 ncpus=4)
[   97.284618] CPU: 0 PID: 137 Comm: irq/289-tidss Tainted: G           O       6.1.46-rt13-BSP_12.4--g17da321871 #1
[   97.284625] Hardware name: Texas Instruments AM625 SK (DT)
[   97.284634] pstate: 80000005 (Nzcv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
[   97.284639] pc : dispc_read_and_clear_irqstatus+0x58/0x1f0
[   97.284656] lr : tidss_irq_handler+0x1c/0x110
[   97.284662] sp : ffff000000eb3d50
[   97.284664] x29: ffff000000eb3d50 x28: ffff800008089000 x27: ffff000001ccfb10
[   97.284674] x26: ffff000001ccfadc x25: ffff000000070000 x24: ffff000001daee00
[   97.284681] x23: ffff000001ccfa00 x22: ffff0000015d6c00 x21: 0000000000000001
[   97.284688] x20: ffff000001ccfa00 x19: 0000000000000000 x18: ffff8000091ee000
[   97.284696] x17: 0000000000000000 x16: 0000000000000000 x15: 000000000000003c
[   97.284706] x14: ffffffffffffffff x13: 0000000000000000 x12: 0000000000000000
[   97.284713] x11: ffff000001ccf680 x10: ffff8000091ee000 x9 : ffff8000091eead8
[   97.284720] x8 : 0000000000000000 x7 : ffff000001ccf680 x6 : ffffffffffffffff
[   97.284727] x5 : ffff00007f668808 x4 : 0000000000000000 x3 : ffff80007674e000
[   97.284734] x2 : ffff80000857fec0 x1 : ffff800009413000 x0 : 0000000000000000
[   97.284743] Call trace:
[   97.284745]  dispc_read_and_clear_irqstatus+0x58/0x1f0
[   97.284751]  tidss_irq_handler+0x1c/0x110
[   97.284756]  irq_forced_thread_fn+0x38/0xb0
[   97.284763]  irq_thread+0x12c/0x1d0
[   97.284767]  kthread+0x120/0x12c
[   97.284776]  ret_from_fork+0x10/0x20
[  160.287579] rcu: INFO: rcu_preempt self-detected stall on CPU
[  160.287609] rcu: 	0-....: (2 GPs behind) idle=9104/1/0x4000000000000000 softirq=0/0 fqs=32851 rcuc=147113 jiffies(starved)
[  160.287620] 	(t=147006 jiffies g=6881 q=1554 ncpus=4)
[  160.287632] CPU: 0 PID: 137 Comm: irq/289-tidss Tainted: G           O       6.1.46-rt13-BSP_12.4--g17da321871 #1
[  160.287639] Hardware name: Texas Instruments AM625 SK (DT)
[  160.287643] pstate: a0000005 (NzCv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
[  160.287648] pc : _raw_spin_unlock_irq+0x10/0x60
[  160.287668] lr : irq_finalize_oneshot.part.0+0x64/0x100
[  160.287676] sp : ffff000000eb3d90
[  160.287678] x29: ffff000000eb3d90 x28: ffff800008089000 x27: ffff000001ccfb10
[  160.287688] x26: ffff000001ccfadc x25: ffff800008089ee0 x24: ffff000001daee00
[  160.287695] x23: ffff000001ccfa00 x22: ffff000001ccfa60 x21: ffff000001ccfadc
[  160.287703] x20: ffff000001daee00 x19: ffff000001ccfa00 x18: ffff8000091ee000
[  160.287710] x17: 0000000000000000 x16: 0000000000000000 x15: 000000000000003c
[  160.287717] x14: ffffffffffffffff x13: 0000000000000000 x12: 0000000000000000
[  160.287724] x11: ffff000001ccf680 x10: ffff8000091ee000 x9 : 0000000000000000
[  160.287731] x8 : ffff800008b594e8 x7 : 000000000000002b x6 : ffffffffffffffff
[  160.287742] x5 : ffff000001ccfa60 x4 : ffff000001ccfa60 x3 : 0000000000100000
[  160.287749] x2 : ffff800009220000 x1 : 0000000000000000 x0 : 00000000000000e0
[  160.287758] Call trace:
[  160.287761]  _raw_spin_unlock_irq+0x10/0x60
[  160.287766]  irq_forced_thread_fn+0x84/0xb0
[  160.287771]  irq_thread+0x12c/0x1d0
[  160.287776]  kthread+0x120/0x12c
[  160.287785]  ret_from_fork+0x10/0x20
[  223.290579] rcu: INFO: rcu_preempt self-detected stall on CPU
[  223.290602] rcu: 	0-....: (2 GPs behind) idle=9104/1/0x4000000000000000 softirq=0/0 fqs=46701 rcuc=210116 jiffies(starved)
[  223.290612] 	(t=210009 jiffies g=6881 q=1580 ncpus=4)
[  223.290622] CPU: 0 PID: 137 Comm: irq/289-tidss Tainted: G           O       6.1.46-rt13-BSP_12.4--g17da321871 #1
[  223.290628] Hardware name: Texas Instruments AM625 SK (DT)
[  223.290632] pstate: 80000005 (Nzcv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
[  223.290639] pc : dispc_read_and_clear_irqstatus+0x58/0x1f0
[  223.290654] lr : tidss_irq_handler+0x1c/0x110
[  223.290664] sp : ffff000000eb3d50
[  223.290666] x29: ffff000000eb3d50 x28: ffff800008089000 x27: ffff000001ccfb10
[  223.290676] x26: ffff000001ccfadc x25: ffff000000070000 x24: ffff000001daee00
[  223.290684] x23: ffff000001ccfa00 x22: ffff0000015d6c00 x21: 0000000000000001
[  223.290691] x20: ffff000001ccfa00 x19: 0000000000000000 x18: ffff8000091ee000
[  223.290698] x17: 0000000000000000 x16: 0000000000000000 x15: 000000000000003c
[  223.290705] x14: ffffffffffffffff x13: 0000000000000000 x12: 0000000000000000
[  223.290712] x11: ffff000001ccf680 x10: ffff8000091ee000 x9 : ffff8000091eead8
[  223.290720] x8 : 0000000000000000 x7 : ffff000001ccf680 x6 : ffffffffffffffff
[  223.290727] x5 : ffff00007f668808 x4 : 0000000000000000 x3 : ffff80007674e000
[  223.290734] x2 : ffff80000857fec0 x1 : ffff800009413000 x0 : 0000000000000000
[  223.290745] Call trace:
[  223.290747]  dispc_read_and_clear_irqstatus+0x58/0x1f0
[  223.290754]  tidss_irq_handler+0x1c/0x110
[  223.290760]  irq_forced_thread_fn+0x38/0xb0
[  223.290766]  irq_thread+0x12c/0x1d0
[  223.290770]  kthread+0x120/0x12c
[  223.290778]  ret_from_fork+0x10/0x20
[  286.293578] rcu: INFO: rcu_preempt self-detected stall on CPU
[  286.293595] rcu: 	0-....: (2 GPs behind) idle=9104/1/0x4000000000000000 softirq=0/0 fqs=60579 rcuc=273119 jiffies(starved)
[  286.293606] 	(t=273012 jiffies g=6881 q=1589 ncpus=4)
[  286.293615] CPU: 0 PID: 137 Comm: irq/289-tidss Tainted: G           O       6.1.46-rt13-BSP_12.4--g17da321871 #1
[  286.293622] Hardware name: Texas Instruments AM625 SK (DT)
[  286.293627] pstate: 60000005 (nZCv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
[  286.293637] pc : dispc_k3_clear_irqstatus+0x188/0x1a0
[  286.293650] lr : dispc_read_and_clear_irqstatus+0xfc/0x1f0
[  286.293656] sp : ffff000000eb3d40
[  286.293658] x29: ffff000000eb3d40 x28: ffff800008089000 x27: ffff000001ccfb10
[  286.293667] x26: ffff000001ccfadc x25: ffff000000070000 x24: ffff000001daee00
[  286.293675] x23: ffff000001ccfa00 x22: ffff0000015d6c00 x21: 0000000000000001
[  286.293683] x20: ffff000001ccfa00 x19: 0000000000000000 x18: ffff8000091ee000
[  286.293690] x17: 0000000000000000 x16: 0000000000000000 x15: 000000000000003c
[  286.293697] x14: ffffffffffffffff x13: 0000000000000000 x12: 0000000000000000
[  286.293707] x11: ffff000001ccf680 x10: ffff8000091ee000 x9 : 0000000000000000
[  286.293715] x8 : ffff800008b594e8 x7 : 000000000000002b x6 : ffffffffffffffff
[  286.293722] x5 : 0000000000000015 x4 : 00000000003fffff x3 : 0000000000000002
[  286.293729] x2 : 000000000000002c x1 : 000000000000002c x0 : 0000000000000002
[  286.293737] Call trace:
[  286.293740]  dispc_k3_clear_irqstatus+0x188/0x1a0
[  286.293747]  dispc_read_and_clear_irqstatus+0xfc/0x1f0
[  286.293753]  tidss_irq_handler+0x1c/0x110
[  286.293758]  irq_forced_thread_fn+0x38/0xb0
[  286.293764]  irq_thread+0x12c/0x1d0
[  286.293769]  kthread+0x120/0x12c
[  286.293776]  ret_from_fork+0x10/0x20



Please help check if this error is caused by Tidss.


Thanks,
Allen


  • Hi Allen,

    Thank for the logs. It's a known issue and our team is working on identifying the rootcause. I am assuming if the HDMI is not connected, the issue is not observed.

    Regards,
    Krunal

  • Hi Krunal,

    Thanks for your clarification, we will wait for the next release. 

    Thanks,
    Allen

  • Krunal, 

    You mentioned this is a known issue for TI SDK. 

    Is this also happened on latest SDK 9.2 still?

    Is there a fixed plan or patch we can provide for testing so far?

    BR, Rich  

  • Hi Rich,

    Yes, we are occasionally observing the above RCU stall and as of now, no patch available. The team is still debugging the issue and no new updates.

    Regards,
    Krunal

  • We have also been seeing random unexplained rcu_prempt errors on the 62x.  I assume that since this is linked to the DSS it would also affect the OLDI outputs, not just the HDMI?

  • Hi Jonathan and Rio,

    Our team is debugging the above issue with a high priority. Based on the current debug, we don't think it's related to DSS and we see similar issue with CAN as well. It's also seen across several boards and our team is debugging. I will share the update of debugs shortly.

    Regards,
    Krunal

  • Note we've been able to reproduce rcu_preempt errors on each of the SDK releases. 5.10, 6.1, and 6.6 kernel.

  • We are actively trying to dig into this so if you have any helpful tests that can be run, let us know.

  • Krunal, 

    My customer found this random "rcu_preempt self-detected stall on CPU" but have no direction to debug. 

    Let us know if any input can help your debug. 

    Please do share us on the debug status.

    BR, Rich

  • Hi Rich and Jonathan,

    Do you have a test or usecase with which we can easily replicate the issue? As of now, it takes us 24-48 hours to replicate the stall and the way we reproduce the issue is by letting the EVM sit idle for extended period of time. 

    Regards,
    Krunal

  • Do you have a test or usecase with which we can easily replicate the issue? As of now, it takes us 24-48 hours to replicate the stall and the way we reproduce the issue is by letting the EVM sit idle for extended period of time. 

    I have seen it most often in our production test fixture.  Which boots into Linux, runs memtester, does some gpio loopback testing, tests a mipi camera, etc and then powers off.  We put this into a continuous loop to try to reproduce it.

    In about 4500 boot/test cycles, we see about 4-8 rcu_preempt issues that usually lead to a crash or hang.  4500 cycles take about 3 days so its not that different from your occurrence rate. 

    I haven't tried reproducing the problem with a unit sitting idle.  Do I need the HDMI plugged in?

  • On our custom board, we only did reboot test, and we can reproduce issue in 24 hours.

    We use a counter to wait system to be ready then send a signal to external power control device to set custom board's power off & on.
    Also, regardless of whether the monitor is connected or not, we can reproduce issue in 2000 cycles.

  • Thanks Jonathan, Allen. 
    Currently the team is focused on trying to reduce the time to failure to further assist with debug and diagnostics. 

    Can you both please  also share 

    1) Does every board show this (our assumption is yes) 

    2) What are your operating frequency , voltage, temperature 

    3) Allen - you mention 2000 cycles - is this 24 hours test? 

    4) Jonathan , are you seeing similar failure rates across all kernel versions (thanks for testing/confirming across the kernel versions) 

  • 1) Does every board show this (our assumption is yes)
    Yes, I have seen this issue on every test board (4 pcs). 

    2) What are your operating frequency, voltage, temperature
    I don't know what exactly means. you can check log for more details or tell us how to dump what you want.


    root@am62xx-evm:~# lscpu | grep MHz
    CPU max MHz: 1400.0000
    CPU min MHz: 200.0000


    root@am62xx-evm:/sys/class/regulator# for i in /sys/class/regulator/* ; do cat $i/name; cat $i/microvolts; echo; done
    vmain_pd
    5000000      

    VDDR_CORE
    850000

    VDDA_1V8
    1800000

    VDD_PHY_2V5
    2500000

    tlv71033
    3300000

    vcc_5v0
    5000000

    vcc_3v3_sys
    3300000

    vdd_mmc1
    3300000

    vcc_1v8
    1800000

    VDD_CORE
    850000

    VCC1V8
    1800000

    VDDS_DDR
    1100000

    VDDSHV_MCU
    1800000

    office's temperature: 25 ℃

    3) Allen - you mention 2000 cycles - is this 24 hours test?
    yes, our reboot test. 1 day / about 1700 - 2000 cycles.

    btw we are using SDK9.1 now, and as far as I know, we have seen this issue on SDK8 & SDK9.0.

  • Thank you Allen. We have what we need from your responses, so much appreciated. 

  • 1) Does every board show this (our assumption is yes) 

    2) What are your operating frequency , voltage, temperature 

    3) Allen - you mention 2000 cycles - is this 24 hours test? 

    4) Jonathan , are you seeing similar failure rates across all kernel versions (thanks for testing/confirming across the kernel versions) 

    1)

    I believe so.

    I do have 10's of thousands of boot tests on AM62A modules which didn't show any rcu_preempts and only one early test run that did show it so it's possible my earlier results were mislabeled.

    2)

    1.4Ghz 0.85V VDDCore, and room temperature.

    Would it make sense to restart testing with the 1.4 GHz disabled?

    4)

    Looking back at logs from 6 months ago, I see some test runs with ~1 in every 200 boots on the 5.10 kernel and the 6.1 kernel.  Further testing on the 6.1 kernel saw error occurences either ~1 in every 400 boots or ~1 in every 1000 boots.  Hard to say if those units were double the failure rate or if they were getting unlucky.

    I'll try to create a table to track each unit and its failure rates.

    Note that with the 5.10/6.1 kernels, a lot of the rcu_prempts (not all) were preceded by lots of "omap_i2c 20010000.i2c: controller timed out" messages. So far I haven't seen any of these on the 6.6 kernel testing. Though there were some cases where the timed out messages, led to a full lock up with no kernel messages.

  • There seems to be quite a bit of variance between test run to test run.  I can't say for sure if any particular unit is more susceptible.  It also looks like the 6.6 kernel isn't necessarily better than the previous kernels.

  • Hi Krunal:

    May we have an update for this issue?

    BR Rio

  • Hi Rio,

    No updates so far and it's challenging to debug the issue since it takes a while to replicate the stalls. From our side, our dev team is trying various experiments to replicate the issue faster. We would like to collect the RCU stall traces and our dev team is working on the instructions. Once I verify, I will share here and the goal would be for your customer to collect the traces when the stall triggers on their setup.

    Regards,
    Krunal

  • Hi Allen and Jonathan,

    Do you guys have JTAG on your board? If yes, is it possible to connect to CCS when stall happens?

    Regards,
    Krunal

  • Yeah, I can hook up a JTAG.  What would you like me to look for when it occurs?

  • Can you share your stall console logs so I can share the steps accordingly? Also, you have CSS v12 or greater installed with some debugger support?

    Regards,
    Krunal

  • What do you mean?  Do you want an old log or should I let it stall, send you the log, and then wait for instructions?

    Yes, I can install a newer CCS.

  • Either one is fine, old log or new log.

    Regards,
    Krunal

  • Here are a couple of the logs

    Connection-6252-TX-XXD-RI-23026345-2024-08-28-22-40-09.log
    ARDUINO INIT\r
    ARDUINO INIT
    [0.127] ARDUINO RESP: Initialized output pins
    ARDUINO POW OFF\r
    [0.251] 
    [0.251] ARDUINO POW OFF
    [0.251] ARDUINO RESP: Turned off power to SOM
    ARDUINO POW ON\r
    [1.383] 
    [1.383] ARDUINO POW ON
    [1.383] ARDUINO RESP: Turned on power to SOM (VSEL = 3V3)
    [1.385] 
    [1.385] 
    [1.953] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [1.959] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [2.002] Configuring DDR4 for 2GB
    [2.027] SPL initial stack usage: 13392 bytes
    [2.047] Trying to boot from MMC2
    [2.068] Authentication passed
    [2.162] Authentication passed
    [2.168] Authentication passed
    [2.173] Authentication passed
    [2.207] Authentication passed
    [2.214] Starting ATF on ARM64 core...
    [2.218] 
    [2.219] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [2.225] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [2.229] 
    [2.618] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [2.624] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [2.723] SPL initial stack usage: 1904 bytes
    [2.764] Trying to boot from MMC2
    [2.766] Authentication passed
    [2.891] Authentication passed
    [2.899] 
    [3.683] 
    [3.683] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [3.689] 
    [3.689] SoC:   AM62X SR1.0 HS-FS
    [3.691] Model: Critical Link MitySOM-AM62x
    [3.694] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [3.820] DRAM:  2 GiB
    [3.906] Core:  101 devices, 31 uclasses, devicetree: separate
    [3.966] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [3.981] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [4.053] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [4.098] *** Warning - No block device, using default environment
    [4.103] 
    [4.103] In:    serial@2800000
    [4.111] Out:   serial@2800000
    [4.113] Err:   serial@2800000
    [4.115] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [4.172] Hit any key to stop autoboot\r
    :  2  0 
    [4.237] =>md.l 0x43000030 1\r
    md.l 0x43000030 1
    [4.471] 43000030: 00000243                             C...
    ARDUINO MEAS 1V8\r
    ARDUINO MEAS 1V8
    [4.703] ARDUINO RESP: 1759.53 mV
    Test: 1700 <= 1759.53 <= 1900: OK
    [4.703] ARDUINO READ RESETSTAT\r
    ARDUINO READ RESETSTAT
    [4.937] ARDUINO RESP: 0
    ARDUINO READ POR_OUT\r
    ARDUINO READ POR_OUT
    [5.173] ARDUINO RESP: 0
    ARDUINO READ SOM_PRESENT\r
    ARDUINO READ SOM_PRESENT
    [5.464] ARDUINO RESP: 1
    i2c dev 0\r
    [5.525] 
    [5.525] i2c dev 0
    [5.525] Setting bus to 0
    [5.525] =>i2c mw 0x30 0x33 0xff\r
     i2c mw 0x30 0x33 0xff
    [5.651] =>i2c md 0x30 0x33 1\r
    i2c md 0x30 0x33 1
    [5.884] 0033: 04    .
    [5.884] =>ARDUINO WRITE PMIC_PB 1\r
     ARDUINO WRITE PMIC_PB 1
    [6.009] ARDUINO RESP:i2c md 0x30 0x33 1\r
    i2c md 0x30 0x33 1
    [6.459] 0033: 01    .
    ARDUINO WRITE PMIC_PB 0\r
    [6.583] => ARDUINO WRITE PMIC_PB 0
    [6.583] ARDUINO RESP:i2c mw 0x30 0x33 0xff\r
     0
    [6.707] 
    [6.707] i2c mw 0x30 0x33 0xff
    [6.707] =>i2c mw 0x30 0x1e 0x7\r
     i2c mw 0x30 0x1e 0x7
    [6.832] =>ARDUINO READ PMIC_GPIOn\r
    ARDUINO READ PMIC_GPIOn
    [7.063] ARDUINO RESP: 0
    i2c mw 0x30 0x1e 0x6\r
    [7.188] 
    [7.188] i2c mw 0x30 0x1e 0x6
    [7.188] =>ARDUINO READ PMIC_GPIOn\r
    ARDUINO READ PMIC_GPIOn
    [7.420] ARDUINO RESP: 1
    ARDUINO PULSE RESET_REQ 1\r
    [7.606] 
    [7.606] ARDUINO PULSE RESET_REQ 1
    [7.646] ARDUINO RESP: Done
    [7.647] 
    [7.647] 
    [8.235] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [8.241] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [8.284] Configuring DDR4 for 2GB
    [8.310] SPL initial stack usage: 13392 bytes
    [8.329] Trying to boot from MMC2
    [8.350] Authentication passed
    [8.445] Authentication passed
    [8.451] Authentication passed
    [8.456] Authentication passed
    [8.490] Authentication passed
    [8.496] Starting ATF on ARM64 core...
    [8.501] 
    [8.501] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [8.508] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [8.512] 
    [8.901] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [8.907] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [9.005] SPL initial stack usage: 1904 bytes
    [9.047] Trying to boot from MMC2
    [9.048] Authentication passed
    [9.173] Authentication passed
    [9.182] 
    [9.965] 
    [9.966] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [9.971] 
    [9.971] SoC:   AM62X SR1.0 HS-FS
    [9.974] Model: Critical Link MitySOM-AM62x
    [9.977] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [10.102] DRAM:  2 GiB
    [10.189] Core:  101 devices, 31 uclasses, devicetree: separate
    [10.248] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [10.264] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [10.335] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [10.380] *** Warning - No block device, using default environment
    [10.385] 
    [10.385] In:    serial@2800000
    [10.393] Out:   serial@2800000
    [10.395] Err:   serial@2800000
    [10.397] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [10.454] Hit any key to stop autoboot\r
    :  2  0 
    [10.524] =>ARDUINO PULSE MCU_RESET 1\r
     ARDUINO PULSE MCU_RESET 1
    [10.750] ARDUINO RESP: Done
    [10.750] 
    [10.750] 
    [11.341] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [11.347] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [11.390] Configuring DDR4 for 2GB
    [11.415] SPL initial stack usage: 13392 bytes
    [11.435] Trying to boot from MMC2
    [11.456] Authentication passed
    [11.551] Authentication passed
    [11.557] Authentication passed
    [11.562] Authentication passed
    [11.596] Authentication passed
    [11.602] Starting ATF on ARM64 core...
    [11.607] 
    [11.607] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [11.613] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [11.618] 
    [12.007] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [12.013] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [12.110] SPL initial stack usage: 1904 bytes
    [12.153] Trying to boot from MMC2
    [12.155] Authentication passed
    [12.277] Authentication passed
    [12.285] 
    [13.068] 
    [13.068] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [13.075] 
    [13.075] SoC:   AM62X SR1.0 HS-FS
    [13.077] Model: Critical Link MitySOM-AM62x
    [13.081] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [13.206] DRAM:  2 GiB
    [13.292] Core:  101 devices, 31 uclasses, devicetree: separate
    [13.352] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [13.367] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [13.439] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [13.484] *** Warning - No block device, using default environment
    [13.489] 
    [13.489] In:    serial@2800000
    [13.497] Out:   serial@2800000
    [13.499] Err:   serial@2800000
    [13.501] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [13.558] Hit any key to stop autoboot\r
    :  2  0 
    [13.628] =>i2c dev 1; i2c mw 0x38 8 0xbf; i2c mw 0x39 8 0xbf\r
     i2c dev 1; i2c mw 0x38 8 0xbf; i2c mw 0x39 8 0xbf
    [13.939] Setting bus to 1
    [13.939] =>if test $board_name = mitysom-62ax; then setenv platform am62a7; fi\r
     if test $board_name = mitysom-62ax; then setenv platform am62a7; fi
    [14.312] =>if test $board_name = mitysom-62px; then setenv platform am62p5; fi\r
     if test $board_name = mitysom-62px; then setenv platform am62p5; fi
    [14.684] =>if test $board_name = mitysom-62x; then setenv platform am62x; fi\r
     if test $board_name = mitysom-62x; then setenv platform am62x; fi
    [15.055] =>print platform\r
     print platform
    [15.180] platform=am62x
    [15.180] =>setenv boot_fit 0; setenv default_device_tree ti/k3-${platform}-mitysom-testfixture.dtb; setenv fdtfile ti/k3-${platform}-mitysom-testfixture.dtb; setenv name_overlays ''\r
     setenv boot_fit 0; setenv default_device_tree ti/k3-${platform}-mitysom-testfixture.dtb; setenv fdtfile ti/k3-${platform}-mitysom-testfixture.dtb; setenv name_overlays ''
    [16.109] =>setenv optargs audit=0\r
     setenv optargs audit=0
    [16.232] =>mw.b ${loadaddr} 0 1; fatwrite mmc 1:1 ${loadaddr} .psdk_setup 1;\r
     mw.b ${loadaddr} 0 1; fatwrite mmc 1:1 ${loadaddr} .psdk_setup 1;
    [16.609] 1 bytes written in 4 ms (0 Bytes/s)
    [16.609] =>boot\r
     boot
    [16.670] switch to partitions #0, OK
    [16.670] mmc1 is current device
    [16.671] SD/MMC found on device 1
    [16.731] 574 bytes read in 29 ms (18.6 KiB/s)
    [16.764] Loaded env from uEnv.txt
    [16.772] Importing environment from mmc1 ...
    [16.772] 27734528 bytes read in 1116 ms (23.7 MiB/s)
    [17.894] 64042 bytes read in 34 ms (1.8 MiB/s)
    [17.935] Working FDT set to 88000000
    [17.938] ## Flattened Device Tree blob at 88000000
    [17.943]    Booting using the fdt blob at 0x88000000
    [17.946] Working FDT set to 88000000
    [17.949]    Loading Device Tree to 000000008feed000, end 000000008fffffff ... OK
    [17.982] Working FDT set to 8feed000
    [17.984] 
    [18.009] Starting kernel ...
    [18.010] 
    [18.010] [    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
    [18.055] [    0.000000] Linux version 6.6.32-g-g29c357e56d90 (oe-user@oe-host) (aarch64-oe-linux-gcc (GCC) 13.3.0, GNU ld (GNU Binutils) 2.42.0.20240716) #1 SMP PREEMPT Fri Aug 23 16:11:00 UTC 2024
    [18.071] [    0.000000] KASLR disabled due to lack of seed
    [18.076] [    0.000000] Machine model: Critical Link MitySOM-AM62x
    [18.081] [    0.000000] earlycon: ns16550a0 at MMIO32 0x0000000002800000 (options '')
    [18.088] [    0.000000] printk: bootconsole [ns16550a0] enabled
    [18.093] [    0.000000] efi: UEFI not found.
    [18.096] [    0.000000] Reserved memory: created CMA memory pool at 0x00000000f8000000, size 128 MiB
    [18.105] [    0.000000] OF: reserved mem: initialized node linux,cma, compatible id shared-dma-pool
    [18.114] [    0.000000] OF: reserved mem: 0x00000000f8000000..0x00000000ffffffff (131072 KiB) map reusable linux,cma
    [18.123] [    0.000000] OF: reserved mem: 0x0000000080000000..0x000000008007ffff (512 KiB) nomap non-reusable tfa@80000000
    [18.133] [    0.000000] OF: reserved mem: 0x000000009c700000..0x000000009c7fffff (1024 KiB) map non-reusable ramoops@9c700000
    [18.144] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009c800000, size 3 MiB
    [18.152] [    0.000000] OF: reserved mem: initialized node ipc-memories@9c800000, compatible id shared-dma-pool
    [18.161] [    0.000000] OF: reserved mem: 0x000000009c800000..0x000000009cafffff (3072 KiB) nomap non-reusable ipc-memories@9c800000
    [18.172] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009cb00000, size 1 MiB
    [18.181] [    0.000000] OF: reserved mem: initialized node m4f-dma-memory@9cb00000, compatible id shared-dma-pool
    [18.190] [    0.000000] OF: reserved mem: 0x000000009cb00000..0x000000009cbfffff (1024 KiB) nomap non-reusable m4f-dma-memory@9cb00000
    [18.206] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009cc00000, size 14 MiB
    [18.209] [    0.000000] OF: reserved mem: initialized node m4f-memory@9cc00000, compatible id shared-dma-pool
    [18.218] [    0.000000] OF: reserved mem: 0x000000009cc00000..0x000000009d9fffff (14336 KiB) nomap non-reusable m4f-memory@9cc00000
    [18.230] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009da00000, size 1 MiB
    [18.238] [    0.000000] OF: reserved mem: initialized node r5f-dma-memory@9da00000, compatible id shared-dma-pool
    [18.247] [    0.000000] OF: reserved mem: 0x000000009da00000..0x000000009dafffff (1024 KiB) nomap non-reusable r5f-dma-memory@9da00000
    [18.258] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009db00000, size 12 MiB
    [18.267] [    0.000000] OF: reserved mem: initialized node r5f-memory@9db00000, compatible id shared-dma-pool
    [18.276] [    0.000000] OF: reserved mem: 0x000000009db00000..0x000000009e6fffff (12288 KiB) nomap non-reusable r5f-memory@9db00000
    [18.287] [    0.000000] OF: reserved mem: 0x000000009e800000..0x000000009fffffff (24576 KiB) nomap non-reusable optee@9e800000
    [18.297] [    0.000000] Zone ranges:
    [18.344] [    0.000000]   DMA      [mem 0x0000000080000000-0x00000000ffffffff]
    [18.350] [    0.000000]   DMA32    empty
    [18.353] [    0.000000]   Normal   empty
    [18.356] [    0.000000] Movable zone start for each node
    [18.361] [    0.000000] Early memory node ranges
    [18.364] [    0.000000]   node   0: [mem 0x0000000080000000-0x000000008007ffff]
    [18.371] [    0.000000]   node   0: [mem 0x0000000080080000-0x000000009c7fffff]
    [18.377] [    0.000000]   node   0: [mem 0x000000009c800000-0x000000009e6fffff]
    [18.383] [    0.000000]   node   0: [mem 0x000000009e700000-0x000000009e7fffff]
    [18.390] [    0.000000]   node   0: [mem 0x000000009e800000-0x000000009fffffff]
    [18.396] [    0.000000]   node   0: [mem 0x00000000a0000000-0x00000000ffffffff]
    [18.412] [    0.000000] Initmem setup node 0 [mem 0x0000000080000000-0x00000000ffffffff]
    [18.412] [    0.000000] psci: probing for conduit method from DT.
    [18.431] [    0.000000] psci: PSCIv1.1 detected in firmware.
    [18.436] [    0.000000] psci: Using standard PSCI v0.2 function IDs
    [18.441] [    0.000000] psci: Trusted OS migration not required
    [18.446] [    0.000000] psci: SMC Calling Convention v1.4
    [18.450] [    0.000000] percpu: Embedded 29 pages/cpu s81128 r8192 d29464 u118784
    [18.457] [    0.000000] Detected VIPT I-cache on CPU0
    [18.461] [    0.000000] CPU features: detected: GIC system register CPU interface
    [18.468] [    0.000000] CPU features: detected: ARM erratum 845719
    [18.473] [    0.000000] alternatives: applying boot alternatives
    [18.478] [    0.000000] Kernel command line: console=ttyS2,115200n8 audit=0 earlycon=ns16550a,mmio32,0x02800000 mtdparts=spi-nand0:512k(ospi_nand.tiboot3),2m(ospi_nand.tispl),4m(ospi_nand.u-boot),256k(ospi_nand.env),256k(ospi_nand.env.backup),98048k@32m(ospi_nand.rootfs),256k@130816k(ospi_nand.phypattern) root=PARTUUID=076c4a2a-02 rw rootfstype=ext4 rootwait
    [18.510] [    0.000000] audit: disabled (until reboot)
    [18.514] [    0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
    [18.524] [    0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
    [18.532] [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 516096
    [18.539] [    0.000000] mem auto-init: stack:all(zero), heap alloc:off, heap free:off
    [18.546] [    0.000000] software IO TLB: area num 2.
    [18.550] [    0.000000] software IO TLB: mapped [mem 0x00000000f1800000-0x00000000f5800000] (64MB)
    [18.623] [    0.000000] Memory: 1773904K/2097152K available (14720K kernel code, 2252K rwdata, 4936K rodata, 5056K init, 453K bss, 192176K reserved, 131072K cma-reserved)
    [18.685] [    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=2, Nodes=1
    [18.692] [    0.000000] ftrace: allocating 47951 entries in 188 pages
    [18.697] [    0.000000] ftrace: allocated 188 pages with 5 groups
    [18.806] [    0.000000] trace event string verifier disabled
    [18.813] [    0.000000] rcu: Preemptible hierarchical RCU implementation.
    [18.817] [    0.000000] rcu: 	RCU event tracing is enabled.
    [18.822] [    0.000000] rcu: 	RCU restricting CPUs from NR_CPUS=256 to nr_cpu_ids=2.
    [18.828] [    0.000000] 	Trampoline variant of Tasks RCU enabled.
    [18.834] [    0.000000] 	Rude variant of Tasks RCU enabled.
    [18.838] [    0.000000] 	Tracing variant of Tasks RCU enabled.
    [18.843] [    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
    [18.851] [    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
    [18.858] [    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
    [18.871] [    0.000000] GICv3: GIC: Using split EOI/Deactivate mode
    [18.878] [    0.000000] GICv3: 256 SPIs implemented
    [18.881] [    0.000000] GICv3: 0 Extended SPIs implemented
    [18.886] [    0.000000] Root IRQ handler: gic_handle_irq
    [18.890] [    0.000000] GICv3: GICv3 features: 16 PPIs
    [18.895] [    0.000000] GICv3: CPU0: found redistributor 0 region 0:0x0000000001880000
    [18.902] [    0.000000] ITS [mem 0x01820000-0x0182ffff]
    [18.906] [    0.000000] GIC: enabling workaround for ITS: Socionext Synquacer pre-ITS
    [18.913] [    0.000000] ITS@0x0000000001820000: Devices Table too large, reduce ids 20->19
    [18.921] [    0.000000] ITS@0x0000000001820000: allocated 524288 Devices @80c00000 (flat, esz 8, psz 64K, shr 0)
    [18.932] [    0.000000] ITS: using cache flushing for cmd queue
    [18.937] [    0.000000] GICv3: using LPI property table @0x0000000080590000
    [18.943] [    0.000000] GIC: using cache flushing for LPI property table
    [18.949] [    0.000000] GICv3: CPU0: using allocated LPI pending table @0x00000000805a0000
    [18.957] [    0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention.
    [18.964] [    0.000000] arch_timer: cp15 timer(s) running at 200.00MHz (phys).
    [18.971] [    0.000000] clocksource: arch_sys_counter: mask: 0x3ffffffffffffff max_cycles: 0x2e2049d3e8, max_idle_ns: 440795210634 ns
    [18.982] [    0.000000] sched_clock: 58 bits at 200MHz, resolution 5ns, wraps every 4398046511102ns
    [18.990] [    0.008661] Console: colour dummy device 80x25
    [18.995] [    0.013272] Calibrating delay loop (skipped), value calculated using timer frequency.. 400.00 BogoMIPS (lpj=800000)
    [19.006] [    0.023961] pid_max: default: 32768 minimum: 301
    [19.010] [    0.028784] LSM: initializing lsm=capability,integrity
    [19.032] [    0.034177] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
    [19.032] [    0.041767] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
    [19.032] [    0.051979] RCU Tasks: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.041] [    0.059330] RCU Tasks Rude: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.048] [    0.067072] RCU Tasks Trace: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.057] [    0.075077] rcu: Hierarchical SRCU implementation.
    [19.062] [    0.079983] rcu: 	Max phase no-delay instances is 1000.
    [19.067] [    0.085652] Platform MSI: msi-controller@1820000 domain created
    [19.073] [    0.092039] PCI/MSI: /bus@f0000/interrupt-controller@1800000/msi-controller@1820000 domain created
    [19.083] [    0.101538] EFI services will not be available.
    [19.088] [    0.106443] smp: Bringing up secondary CPUs ...
    [19.093] [    0.111892] Detected VIPT I-cache on CPU1
    [19.097] [    0.112001] GICv3: CPU1: found redistributor 1 region 0:0x00000000018a0000
    [19.105] [    0.112022] GICv3: CPU1: using allocated LPI pending table @0x00000000805b0000
    [19.113] [    0.112086] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
    [19.119] [    0.112244] smp: Brought up 1 node, 2 CPUs
    [19.123] [    0.141658] SMP: Total of 2 processors activated.
    [19.128] [    0.146475] CPU features: detected: 32-bit EL0 Support
    [19.133] [    0.151755] CPU features: detected: CRC32 instructions
    [19.139] [    0.157091] CPU: All CPU(s) started at EL2
    [19.143] [    0.161295] alternatives: applying system-wide alternatives
    [19.149] [    0.169163] devtmpfs: initialized
    [19.154] [    0.182929] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
    [19.175] [    0.192952] futex hash table entries: 512 (order: 3, 32768 bytes, linear)
    [19.182] [    0.204715] pinctrl core: initialized pinctrl subsystem
    [19.192] [    0.210826] DMI not present or invalid.
    [19.197] [    0.215758] NET: Registered PF_NETLINK/PF_ROUTE protocol family
    [19.204] [    0.222912] DMA: preallocated 256 KiB GFP_KERNEL pool for atomic allocations
    [19.212] [    0.230272] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations
    [19.235] [    0.238331] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
    [19.236] [    0.247092] thermal_sys: Registered thermal governor 'step_wise'
    [19.236] [    0.247100] thermal_sys: Registered thermal governor 'power_allocator'
    [19.241] [    0.253297] cpuidle: using governor menu
    [19.246] [    0.264162] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
    [19.253] [    0.271175] ASID allocator initialised with 65536 entries
    [19.258] [    0.283807] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu-system-default-pins
    [19.278] [    0.295963] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/jtag-default-pins
    [19.288] [    0.307541] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu-gpio0-loopback-default-pins
    [19.302] [    0.320362] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu_system_pins_default
    [19.314] [    0.336692] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/main-system-default-pins
    [19.329] [    0.347492] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/gpio1-loopback-default-pins
    [19.340] [    0.358535] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/gpio0-loopback-default-pins
    [19.351] [    0.372823] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@1
    [19.364] [    0.382743] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@0
    [19.374] [    0.396233] platform display: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@1
    [19.387] [    0.405729] platform display: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@0
    [19.397] [    0.415571] Modules: 25872 pages in range for non-PLT usage
    [19.403] [    0.415581] Modules: 517392 pages in range for PLT usage
    [19.410] [    0.422358] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages
    [19.417] [    0.434812] HugeTLB: 0 KiB vmemmap can be freed for a 1.00 GiB page
    [19.440] [    0.441229] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages
    [19.440] [    0.448172] HugeTLB: 0 KiB vmemmap can be freed for a 32.0 MiB page
    [19.440] [    0.454583] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages
    [19.442] [    0.461525] HugeTLB: 0 KiB vmemmap can be freed for a 2.00 MiB page
    [19.449] [    0.467936] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages
    [19.457] [    0.474878] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page
    [19.463] [    0.483296] k3-chipinfo 43000014.chipid: Family:AM62X rev:SR1.0 JTAGID[0x0bb7e02f] Detected
    [19.474] [    0.492860] iommu: Default domain type: Translated
    [19.480] [    0.497857] iommu: DMA domain TLB invalidation policy: strict mode
    [19.486] [    0.504558] SCSI subsystem initialized
    [19.490] [    0.508899] usbcore: registered new interface driver usbfs
    [19.496] [    0.514584] usbcore: registered new interface driver hub
    [19.502] [    0.520061] usbcore: registered new device driver usb
    [19.506] [    0.525847] pps_core: LinuxPPS API ver. 1 registered
    [19.513] [    0.530947] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
    [19.522] [    0.540304] PTP clock support registered
    [19.526] [    0.544512] EDAC MC: Ver: 3.0.0
    [19.529] [    0.548440] scmi_core: SCMI protocol bus registered
    [19.535] [    0.553816] FPGA manager framework
    [19.539] [    0.557401] Advanced Linux Sound Architecture Driver Initialized.
    [19.545] [    0.565123] clocksource: Switched to clocksource arch_sys_counter
    [19.553] [    0.571898] VFS: Disk quotas dquot_6.6.0
    [19.558] [    0.575990] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
    [19.565] [    0.590366] NET: Registered PF_INET protocol family
    [19.577] [    0.595685] IP idents hash table entries: 32768 (order: 6, 262144 bytes, linear)
    [19.585] [    0.605333] tcp_listen_portaddr_hash hash table entries: 1024 (order: 2, 16384 bytes, linear)
    [19.596] [    0.614160] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear)
    [19.604] [    0.622098] TCP established hash table entries: 16384 (order: 5, 131072 bytes, linear)
    [19.612] [    0.630330] TCP bind hash table entries: 16384 (order: 7, 524288 bytes, linear)
    [19.620] [    0.638375] TCP: Hash tables configured (established 16384 bind 16384)
    [19.637] [    0.645295] UDP hash table entries: 1024 (order: 3, 32768 bytes, linear)
    [19.637] [    0.652219] UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes, linear)
    [19.640] [    0.659760] NET: Registered PF_UNIX/PF_LOCAL protocol family
    [19.647] [    0.666236] RPC: Registered named UNIX socket transport module.
    [19.654] [    0.672321] RPC: Registered udp transport module.
    [19.658] [    0.677134] RPC: Registered tcp transport module.
    [19.664] [    0.681944] RPC: Registered tcp-with-tls transport module.
    [19.669] [    0.687554] RPC: Registered tcp NFSv4.1 backchannel transport module.
    [19.676] [    0.694155] NET: Registered PF_XDP protocol family
    [19.681] [    0.699081] PCI: CLS 0 bytes, default 64
    [19.685] [    0.704689] Initialise system trusted keyrings
    [19.690] [    0.709556] workingset: timestamp_bits=46 max_order=19 bucket_order=0
    [19.698] [    0.716582] squashfs: version 4.0 (2009/01/31) Phillip Lougher
    [19.703] [    0.722922] NFS: Registering the id_resolver key type
    [19.710] [    0.728146] Key type id_resolver registered
    [19.714] [    0.732427] Key type id_legacy registered
    [19.718] [    0.736550] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
    [19.725] [    0.743407] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering...
    [19.733] [    0.791543] Key type asymmetric registered
    [19.778] [    0.795737] Asymmetric key parser 'x509' registered
    [19.783] [    0.800796] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245)
    [19.790] [    0.808551] io scheduler mq-deadline registered
    [19.795] [    0.813224] io scheduler kyber registered
    [19.799] [    0.817392] io scheduler bfq registered
    [19.803] [    0.824839] pinctrl-single 4084000.pinctrl: 34 pins, size 136
    [19.812] [    0.831734] pinctrl-single f4000.pinctrl: 171 pins, size 684
    [19.819] [    0.846452] Serial: 8250/16550 driver, 12 ports, IRQ sharing enabled
    [19.837] [    0.865030] loop: module loaded
    [19.850] [    0.869602] megasas: 07.725.01.00-rc1
    [19.855] [    0.877995] tun: Universal TUN/TAP device driver, 1.6
    [19.864] [    0.884060] thunder_xcv, ver 1.0
    [19.869] [    0.887428] thunder_bgx, ver 1.0
    [19.872] [    0.890767] nicpf, ver 1.0
    [19.875] [    0.893719] e1000: Intel(R) PRO/1000 Network Driver
    [19.880] [    0.898709] e1000: Copyright (c) 1999-2006 Intel Corporation.
    [19.886] [    0.904618] e1000e: Intel(R) PRO/1000 Network Driver
    [19.891] [    0.909696] e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
    [19.897] [    0.915780] igb: Intel(R) Gigabit Ethernet Network Driver
    [19.903] [    0.921310] igb: Copyright (c) 2007-2014 Intel Corporation.
    [19.909] [    0.927034] igbvf: Intel(R) Gigabit Virtual Function Network Driver
    [19.915] [    0.933456] igbvf: Copyright (c) 2009 - 2012 Intel Corporation.
    [19.921] [    0.939649] sky2: driver version 1.30
    [19.925] [    0.944491] VFIO - User Level meta-driver version: 0.3
    [19.932] [    0.950428] usbcore: registered new interface driver usb-storage
    [19.938] [    0.957357] i2c_dev: i2c /dev entries driver
    [19.943] [    0.963671] sdhci: Secure Digital Host Controller Interface driver
    [19.952] [    0.970090] sdhci: Copyright(c) Pierre Ossman
    [19.956] [    0.974894] sdhci-pltfm: SDHCI platform and OF driver helper
    [19.962] [    0.981512] ledtrig-cpu: registered to indicate activity on CPUs
    [19.969] [    0.988029] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping ....
    [19.976] [    0.995116] usbcore: registered new interface driver usbhid
    [19.983] [    1.000827] usbhid: USB HID core driver
    [19.986] [    1.005197] omap-mailbox 29000000.mailbox: omap mailbox rev 0x66fc9100
    [19.994] [    1.013603] hw perfevents: enabled with armv8_cortex_a53 PMU driver, 7 counters available
    [20.004] [    1.022720] optee: probing for conduit method.
    [20.009] [    1.027339] optee: revision 4.2 (12d7c4ee)
    [20.014] [    1.027716] optee: dynamic shared memory is enabled
    [20.019] [    1.037440] optee: initialized driver
    [20.023] [    1.043295] Initializing XFRM netlink socket
    [20.029] [    1.047798] NET: Registered PF_PACKET protocol family
    [20.047] [    1.053082] Key type dns_resolver registered
    [20.047] [    1.067350] registered taskstats version 1
    [20.052] [    1.071741] Loading compiled-in X.509 certificates
    [20.058] [    1.091200] ti-sci 44043000.system-controller: ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [20.083] [    1.147066] ti-sci-clk 44043000.system-controller:clock-controller: recalc-rate failed for dev=81, clk=20, ret=-19
    [20.139] [    1.178243] i2c 0-0030: Fixed dependency cycle(s) with /bus@f0000/i2c@20000000/pmic@30/regulators/buck2
    [20.170] [    1.188287] omap_i2c 20000000.i2c: bus 0 rev0.12 at 400 kHz
    [20.176] [    1.195691] pca953x 1-0020: supply vcc not found, using dummy regulator
    [20.184] [    1.202761] pca953x 1-0020: using no AI
    [20.189] [    1.230453] i2c 1-0010: Fixed dependency cycle(s) with /bus@f0000/ticsi2rx@30102000/csi-bridge@30101000
    [20.222] [    1.240449] omap_i2c 20010000.i2c: bus 1 rev0.12 at 100 kHz
    [20.228] [    1.246482] ti-sci-intr 4210000.interrupt-controller: Interrupt Router 5 domain created
    [20.238] [    1.254894] ti-sci-intr bus@f0000:interrupt-controller@a00000: Interrupt Router 3 domain created
    [20.246] [    1.264234] ti-sci-inta 48000000.interrupt-controller: Interrupt Aggregator domain 28 created
    [20.255] [    1.274922] ti-udma 485c0100.dma-controller: Number of rings: 82
    [20.262] [    1.284313] ti-udma 485c0100.dma-controller: Channels: 48 (bchan: 18, tchan: 12, rchan: 18)
    [20.275] [    1.296122] ti-udma 485c0000.dma-controller: Number of rings: 150
    [20.284] [    1.308382] ti-udma 485c0000.dma-controller: Channels: 35 (tchan: 20, rchan: 15)
    [20.298] [    1.319357] printk: console [ttyS2] disabled
    [20.306] [    1.324241] 2800000.serial: ttyS2 at MMIO 0x2800000 (irq = 238, base_baud = 3000000) is a 8250
    [20.315] [    1.333285] printk: console [ttyS2] enabled
    [20.319] [    1.333285] printk: console [ttyS2] enabled
    [20.323] [    1.341792] printk: bootconsole [ns16550a0] disabled
    [20.328] [    1.341792] printk: bootconsole [ns16550a0] disabled
    [20.334] [    1.360275] spi-nor spi0.0: unrecognized JEDEC id bytes: ff ff ff ff ff ff
    [20.349] [    1.370291] mmc0: CQHCI version 5.10
    [20.356] [    1.404181] VDD_CORE: Bringing 750000uV into 850000-850000uV
    [20.392] [    1.404952] input: tps65219-pwrbutton as /devices/platform/bus@f0000/20000000.i2c/i2c-0/0-0030/tps65219-pwrbutton.2.auto/input/input0
    [20.404] [    1.422027] mmc0: SDHCI controller on fa10000.mmc [fa10000.mmc] using ADMA 64-bit
    [20.411] [    1.441215] mmc1: CQHCI version 5.10
    [20.427] [    1.442786] debugfs: Directory 'pd:186' with parent 'pm_genpd' already present!
    [20.434] [    1.453019] clk: Disabling unused clocks
    [20.451] [    1.459736] ti-sci-clk 44043000.system-controller:clock-controller: is_prepared failed for dev=81, clk=20, ret=-19
    [20.451] [    1.475203] ALSA device list:
    [20.460] [    1.478269]   No soundcards found.
    [20.462] [    1.483046] mmc1: SDHCI controller on fa00000.mmc [fa00000.mmc] using ADMA 64-bit
    [20.472] [    1.491201] Waiting for root device PARTUUID=076c4a2a-02...
    [20.479] [    1.549028] mmc1: new ultra high speed SDR104 SDHC card at address aaaa
    [20.537] [    1.556561] mmcblk1: mmc1:aaaa SA08G 7.40 GiB
    [20.543] [    1.564089]  mmcblk1: p1 p2
    [20.549] [    1.599198] EXT4-fs (mmcblk1p2): mounted filesystem dd8b7318-d11a-4d67-b3b9-a3869236fe3f r/w with ordered data mode. Quota mode: none.
    [20.593] [    1.611507] VFS: Mounted root (ext4 filesystem) on device 179:2.
    [20.599] [    1.618708] devtmpfs: mounted
    [20.603] [    1.626793] Freeing unused kernel memory: 5056K
    [20.613] [    1.631556] Run /sbin/init as init process
    [20.617] [    1.830322] systemd[1]: System time before build time, advancing clock.
    [20.819] [    1.856935] systemd[1]: Failed to find module 'autofs4'
    [20.846] [    1.886061] NET: Registered PF_INET6 protocol family
    [20.873] [    1.892224] Segment Routing with IPv6
    [20.878] [    1.895970] In-situ OAM (IOAM) with IPv6
    [20.882] [    1.933685] systemd[1]: systemd 255.4^ running in system mode (+PAM -AUDIT -SELINUX -APPARMOR +IMA -SMACK +SECCOMP -GCRYPT -GNUTLS -OPENSSL +ACL +BLKID -CURL -ELFUTILS -FIDO2 -IDN2 -IDN -IPTC +KMOD -LIBCRYPTSETUP +LIBFDISK -PCRE2 -PWQUALITY -P11KIT -QRENCODE -TPM2 -BZIP2 -LZ4 -XZ -ZLIB +ZSTD -BPF_FRAMEWORK -XKBCOMMON +UTMP +SYSVINIT default-hierarchy=unified)
    [20.947] [    1.965606] systemd[1]: Detected architecture arm64.
    [20.952] 
    [20.955] Welcome to Arago 2023.10!
    [20.958] 
    
    [20.959] [    1.988152] systemd[1]: Hostname set to <mitysom-am62x>.
    [20.975] [    2.400345] systemd[1]: /etc/systemd/system/sync-clocks.service:11: Standard output type syslog is obsolete, automatically updating to journal. Please update your unit file, and consider removing the setting altogether.
    [21.402] [    2.476247] systemd[1]: Queued start job for default target Multi-User System.
    [21.464] [    2.521822] systemd[1]: Created slice Slice /system/getty.
    [21.509] [  OK  ] Created slice Slice /system/getty.
    
    [21.516] [    2.547151] systemd[1]: Created slice Slice /system/modprobe.
    [21.535] [  OK  ] Created slice Slice /system/modprobe.
    
    [21.541] [    2.571186] systemd[1]: Created slice Slice /system/serial-getty.
    [21.559] [  OK  ] Created slice Slice /system/serial-getty.
    
    [21.566] [    2.594598] systemd[1]: Created slice User and Session Slice.
    [21.582] [  OK  ] Created slice User and Session Slice.
    
    [21.589] [    2.617683] systemd[1]: Started Dispatch Password Requests to Console Directory Watch.
    [21.607] [  OK  ] Started Dispatch Password Requests to Console Directory Watch.
    
    [21.616] [    2.641541] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
    [21.631] [  OK  ] Started Forward Password Requests to Wall Directory Watch.
    
    [21.639] [    2.665318] systemd[1]: Expecting device /dev/ttyS2...
    [21.652]          Expecting device /dev/ttyS2...
    
    [21.664] [    2.685352] systemd[1]: Reached target Path Units.
    [21.671] [  OK  ] Reached target Path Units.
    
    [21.676] [    2.705278] systemd[1]: Reached target Remote File Systems.
    [21.693] [  OK  ] Reached target Remote File Systems.
    
    [21.699] [    2.725260] systemd[1]: Reached target Slice Units.
    [21.712] [  OK  ] Reached target Slice Units.
    
    [21.718] [    2.745280] systemd[1]: Reached target Swaps.
    [21.731] [  OK  ] Reached target Swaps.
    
    [21.737] [    2.768072] systemd[1]: Listening on Syslog Socket.
    [21.755] [  OK  ] Listening on Syslog Socket.
    
    [21.761] [    2.797385] systemd[1]: Listening on Process Core Dump Socket.
    [21.785] [  OK  ] Listening on Process Core Dump Socket.
    
    [21.792] [    2.817800] systemd[1]: Listening on initctl Compatibility Named Pipe.
    [21.806] [  OK  ] Listening on initctl Compatibility Named Pipe.
    
    [21.813] [    2.847961] systemd[1]: Journal Audit Socket was skipped because of an unmet condition check (ConditionSecurity=audit).
    [21.841] [    2.859793] systemd[1]: Listening on Journal Socket (/dev/log).
    [21.847] [  OK  ] Listening on Journal Socket (/dev/log).
    
    [21.854] [    2.882106] systemd[1]: Listening on Journal Socket.
    [21.868] [  OK  ] Listening on Journal Socket.
    
    [21.874] [    2.902219] systemd[1]: Listening on Network Service Netlink Socket.
    [21.890] [  OK  ] Listening on Network Service Netlink Socket.
    
    [21.898] [    2.926195] systemd[1]: Listening on udev Control Socket.
    [21.913] [  OK  ] Listening on udev Control Socket.
    
    [21.920] [    2.949895] systemd[1]: Listening on udev Kernel Socket.
    [21.937] [  OK  ] Listening on udev Kernel Socket.
    
    [21.943] [    2.969962] systemd[1]: Listening on User Database Manager Socket.
    [21.958] [  OK  ] Listening on User Database Manager Socket.
    
    [21.965] [    3.017668] systemd[1]: Mounting Huge Pages File System...
    [22.005]          Mounting Huge Pages File System...
    
    [22.011] [    3.041630] systemd[1]: Mounting POSIX Message Queue File System...
    [22.030]          Mounting POSIX Message Queue File System...
    
    [22.036] [    3.074237] systemd[1]: Mounting Kernel Debug File System...
    [22.069]          Mounting Kernel Debug File System...
    
    [22.069] [    3.105978] systemd[1]: Mounting Kernel Trace File System...
    [22.093]          Mounting Kernel Trace File System...
    
    [22.099] [    3.129892] systemd[1]: Mounting Temporary Directory /tmp...
    [22.117]          Mounting Temporary Directory /tmp...
    
    [22.123] [    3.178340] systemd[1]: Starting Create List of Static Device Nodes...
    [22.167]          Starting Create List of Static Device Nodes...
    
    [22.173] [    3.210527] systemd[1]: Starting Load Kernel Module configfs...
    [22.198]          Starting Load Kernel Module configfs...
    
    [22.205] [    3.262206] systemd[1]: Starting Load Kernel Module drm...
    [22.250]          Starting Load Kernel Module drm...
    
    [22.258] [    3.286689] systemd[1]: Starting Load Kernel Module fuse...
    [22.274]          Starting Load Kernel Module fuse...
    
    [22.279] [    3.330138] systemd[1]: Starting Journal Service...
    [22.317]          Starting Journal Service...
    
    [22.325] [    3.347906] fuse: init (API version 7.39)
    [22.334] [    3.357042] systemd[1]: Load Kernel Modules was skipped because no trigger condition checks were met.
    [22.348] [    3.374466] systemd[1]: Starting Generate network units from Kernel command line...
    [22.364]          Starting Generate network units from Kernel command line...
    
    [22.379] [    3.419125] systemd-journald[99]: Collecting audit messages is disabled.
    [22.408] [    3.438049] systemd[1]: Starting Remount Root and Kernel File Systems...
    [22.427]          Starting Remount Root and Kernel File Systems...
    
    [22.434] [    3.472413] systemd[1]: Starting Apply Kernel Variables...
    [22.460]          Starting Apply Kernel Variables...
    
    [22.477] [    3.540429] EXT4-fs (mmcblk1p2): re-mounted dd8b7318-d11a-4d67-b3b9-a3869236fe3f ro. Quota mode: none.
    [22.532] [    3.551058] systemd[1]: Starting Coldplug All udev Devices...
    [22.539]          Starting Coldplug All udev Devices...
    
    [22.549] [    3.586879] systemd[1]: Started Journal Service.
    [22.573] [  OK  ] Started Journal Service.
    
    [22.580] [  OK  ] Mounted Huge Pages File System.
    
    [22.605] [  OK  ] Mounted POSIX Message Queue File System.
    
    [22.615] [  OK  ] Mounted Kernel Debug File System.
    
    [22.635] [  OK  ] Mounted Kernel Trace File System.
    
    [22.651] [  OK  ] Mounted Temporary Directory /tmp.
    
    [22.666] [  OK  ] Finished Create List of Static Device Nodes.
    
    [22.687] [  OK  ] Finished Load Kernel Module configfs.
    
    [22.710] [  OK  ] Finished Load Kernel Module drm.
    
    [22.733] [  OK  ] Finished Load Kernel Module fuse.
    
    [22.757] [  OK  ] Finished Generate network units from Kernel command line.
    
    [22.778] [  OK  ] Finished Remount Root and Kernel File Systems.
    
    [22.788] [  OK  ] Finished Apply Kernel Variables.
    
    [22.805] [  OK  ] Reached target Preparation for Network.
    
    [22.861]          Mounting FUSE Control File System...
    
    [22.905]          Mounting Kernel Configuration File System...
    
    [22.926]          Starting Flush Journal to Persistent Storage...
    
    [22.967]          Starting Create Static Device Nodes in /dev gracefully...
    
    [22.993] [\r
      OK  ] Mounted FUSE Control File System[0[    4.036522] systemd-journald[99]: Received client request to flush runtime journal.
    [23.070] m.
    
    [23.070] [  OK  ] Mounted Kernel Configuration File System.
    
    [23.070] [  OK  ] Finished Flush Journal to Persistent Storage.
    
    [23.071] [  OK  ] Finished Create Static Device Nodes in /dev gracefully.
    
    [23.123]          Starting Create Static Device Nodes in /dev...
    
    [23.155] [  OK  ] Finished Coldplug All udev Devices.
    
    [23.176] [  OK  ] Finished Create Static Device Nodes in /dev.
    
    [23.226] [  OK  ] Reached target Preparation for Local File Systems.
    
    [23.248]          Mounting /var/volatile...
    
    [23.280]          Starting Rule-based Manager for Device Events and Files...
    
    [23.309] [  OK  ] Mounted /var/volatile.
    
    [23.329]          Starting Bind mount volatile /var/cache...
    
    [23.363]          Starting Bind mount volatile /var/lib...
    
    [23.389]          Starting Bind mount volatile /var/spool...
    
    [23.439]          Starting Bind mount volatile /srv...
    
    [23.464] [  OK  ] Started Rule-based Manager for Device Events and Files.
    
    [23.601] [  OK  ] Finished Bind mount volatile /var/cache.
    
    [23.620] [  OK  ] Finished Bind mount volatile /var/lib.
    
    [23.640] [  OK  ] Finished Bind mount volatile /var/spool.
    
    [23.660] [  OK  ] Finished Bind mount volatile /srv.
    
    [23.671] [  OK  ] Reached target Local File Systems.
    
    [23.712]          Starting Network Configuration...
    
    [23.757]          Starting Load/Save OS Random Seed...
    
    [23.791]          Starting Create Volatile Files and Directories...
    
    [23.837] [  OK  ] Found device /dev/ttyS2.
    
    [24.041]          Starting User Database Manager...
    
    [24.099] [  OK  ] Finished Create Volatile Files and Directories.
    
    [24.114]          Starting Network Name Resolution...
    
    [24.166]          Starting Network Time Synchronization...
    
    [24.238]          Starting Record System Boot/Shutdown in UTMP...
    
    [24.324] [  OK  ] Started User Database Manager.
    
    [24.550] [  OK  ] Finished Record System Boot/Shutdown in UTMP.
    
    [24.591] [    5.713220] random: crng init done
    [24.698] [  OK  ] Finished Load/Save OS Random Seed.
    
    [24.742] [    6.147796] cfg80211: Loading compiled-in X.509 certificates for regulatory database
    [25.137] [  OK  ] Started Network Name Resolution[    6.204206] Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
    [25.192] .
    
    [25.192] [    6.215489] Loaded X.509 cert 'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600'
    [25.205] [  OK  ] Started Network Time Synchronizatio[    6.227822] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
    [25.222] n.
    
    [25.223] [  OK  ] Reached target Host and Network Name Lookups.
    
    [25.236] [    6.253685] cfg80211: failed to load regulatory.db
    [25.241] [  OK  ] Reached target System Initialization.
    
    [25.248] [  OK  ] Started Daily Cleanup of Temporary Directories.
    
    [25.267] [  OK  ] Reached target System Time Set.
    
    [25.283] [  OK  ] Reached target Timer Units.
    
    [25.293] [  OK  ] Listening on D-Bus System Message Bus Socket.
    
    [25.313] [  OK  ] Listening on dropbear.socket.
    
    [25.361] [  OK  ] Reached target Socket Units.
    
    [25.369] [  OK  ] Reached target Basic System.
    [    6.391956] mc: Linux media interface: v0.10
    [25.381] 
    [25.381] [    6.420448] at24 0-0050: supply vcc not found, using dummy regulator
    [25.409] [    6.444926] at24 0-0050: 4096 byte 24c32 EEPROM, read-only
    [25.432] [  OK  ] Started Kernel Logging Service.
    
    [25.439] [    6.503971] videodev: Linux video capture interface: v2.00
    [25.491] [  OK  ] Started System Logging Service.
    
    [25.501]          Starting D-Bus System Message Bus...
    
    [25.539]          Starting User Login Management...
    
    [25.633] [  OK  ] Started Network Configuration.
    
    [25.656] [  OK  ] Reached target Network.
    [    6.688569] imx219 1-0010: supply VANA not found, using dummy regulator
    [25.681] 
    [25.682]          Starting Permit User Sessions...
    
    [25.733] [    6.817338] tidss 30200000.dss: failed to init OLDI: -517
    [25.805] [    6.822208] imx219 1-0010: Fixed dependency cycle(s) with /bus@f0000/ticsi2rx@30102000/csi-bridge@30101000
    [25.814] [    6.855173] platform 30101000.csi-bridge: Fixed dependency cycle(s) with /bus@f0000/i2c@20010000/camera@10
    [25.847] [  OK  ] Started D-Bus System Message Bus.
    
    [25.862] [  OK  ] Finished Permit User Sessions.
    
    [25.907] [    6.931653] imx219 1-0010: supply VDIG not found, using dummy regulator
    [25.920] [  OK  ] Started Getty on tty1.
    
    [25.950] [    6.991951] tidss 30200000.dss: failed to init OLDI: -517
    [25.979] [  OK  ] Started Serial Getty on ttyS2.
    
    [25.989] [  OK  ] Reached target Login Prompts.
    
    [26.002]          Starting Synchronize System and HW clocks...
    
    [26.032] [    7.059135] imx219 1-0010: supply VDDL not found, using dummy regulator
    [26.047] [  OK  ] Started User Login Management.
    [    7.095145] rtc-ti-k3 2b1f0000.rtc: registered as rtc0
    [26.083] 
    [26.083] [    7.099843] tidss 30200000.dss: failed to init OLDI: -517
    [26.088] [    7.112763] rtc-ti-k3 2b1f0000.rtc: setting system clock to 1970-01-01T00:00:15 UTC (15)
    [26.103] [    7.117004] systemd-journald[99]: Time jumped backwards, rotating.
    [26.109] [  OK  ] Reached target Multi-User System[0[    7.134359] tidss 30200000.dss: failed to init OLDI: -517
    [26.123] m.
    
    [26.124]          Starting Record Runlevel Change in UTMP...
    
    [26.155] [  OK  ] Finished Synchronize System and HW [    7.189225] platform 78000000.r5f: R5F core may have been powered on by a different host, programmed state (0) != actual state (1)
    [26.186] clocks.
    
    [26.187] [    7.223702] platform 78000000.r5f: configured R5F for IPC-only mode
    [26.212] [    7.230931] tidss 30200000.dss: failed to init OLDI: -517
    [26.218] [    7.231619] platform 78000000.r5f: assigned reserved memory node r5f-dma-memory@9da00000
    [26.226] [    7.269555] tidss 30200000.dss: failed to init OLDI: -517
    [26.257] [  OK  ] Finished Record Runlevel Change in [    7.313466] panel-simple display: supply power not found, using dummy regulator
    [26.305] UTMP.
    
    [26.306] [    7.354674] [drm] Initialized tidss 1.0.0 20180215 for 30200000.dss on minor 0
    [26.344] [    7.357495] remoteproc remoteproc0: 78000000.r5f is available
    [26.349] [    7.368878] remoteproc remoteproc0: attaching to 78000000.r5f
    [26.360] [    7.386718] Console: switching to colour frame buffer device 160x50
    [26.401] [    7.420765] pvrsrvkm: loading out-of-tree module taints kernel.
    [26.419] [    7.421594] platform 78000000.r5f: R5F core initialized in IPC-only mode
    [26.432] [    7.421635] rproc-virtio rproc-virtio.4.auto: assigned reserved memory node r5f-dma-memory@9da00000
    [26.445] [    7.422817] virtio_rpmsg_bus virtio0: creating channel ti.ipc4.ping-pong addr 0xd
    [26.456] [    7.423013] virtio_rpmsg_bus virtio0: creating channel rpmsg_chrdev addr 0xe
    [26.465] [    7.425419] virtio_rpmsg_bus virtio0: rpmsg host is online
    [26.476] [    7.425539] rproc-virtio rproc-virtio.4.auto: registered virtio0 (type 7)
    [26.487] [    7.425546] remoteproc remoteproc0: remote processor 78000000.r5f is now attached
    [26.501] [    7.484124] PVR_K:  163: Device: fd00000.gpu
    [26.513] [    7.497514] PVR_K:  163: Read BVNC 33.15.11.3 from HW device registers
    [26.524] [    7.497625] PVR_K:  163: RGX Device registered with BVNC 33.15.11.3
    [26.536] [    7.524480] [drm] Initialized pvr 24.1.6554834 20170530 for fd00000.gpu on minor 1
    [26.550] [    7.575640] tidss 30200000.dss: [drm] fb0: tidssdrmfb frame buffer device
    [26.563] [    7.695930] remoteproc remoteproc1: 30074000.pru is available
    [26.683] [    7.723414] remoteproc remoteproc2: 30078000.pru is available
    [26.711] [    7.854504] cdns-csi2rx 30101000.csi-bridge: Probed CSI2RX with 2/4 lanes, 4 streams, external D-PHY
    [26.845] [    8.135658] xhci-hcd xhci-hcd.5.auto: xHCI Host Controller
    [27.123] [    8.171526] xhci-hcd xhci-hcd.5.auto: new USB bus registered, assigned bus number 1
    [27.163] [    8.189480] xhci-hcd xhci-hcd.5.auto: USB3 root hub has no ports
    [27.177] [    8.201361] xhci-hcd xhci-hcd.5.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000008000000010
    [27.193] [    8.217394] xhci-hcd xhci-hcd.5.auto: irq 487, io mem 0x31000000
    [27.205] [    8.241488] hub 1-0:1.0: USB hub found
    [27.227] [    8.245493] hub 1-0:1.0: 1 port detected
    [27.231] [    8.252999] xhci-hcd xhci-hcd.6.auto: xHCI Host Controller
    [27.240] [    8.258726] xhci-hcd xhci-hcd.6.auto: new USB bus registered, assigned bus number 2
    [27.248] [    8.266694] xhci-hcd xhci-hcd.6.auto: USB3 root hub has no ports
    [27.254] [    8.272728] xhci-hcd xhci-hcd.6.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000008000000010
    [27.264] [    8.282238] xhci-hcd xhci-hcd.6.auto: irq 488, io mem 0x31100000
    [27.270] [    8.289450] hub 2-0:1.0: USB hub found
    [27.275] [    8.293286] hub 2-0:1.0: 1 port detected
    [27.279] 
    
    [27.386]  _____                    _____           _         _   
    [27.391] |  _  |___ ___ ___ ___   |  _  |___ ___  |_|___ ___| |_ 
    [27.396] |     |  _| .'| . | . |  |   __|  _| . | | | -_|  _|  _|
    [27.401] |__|__|_| |__,|_  |___|  |__|  |_| |___|_| |___|___|_|  
    [27.406]               |___|                    |___|            
    [27.411] 
    [27.411] Arago Project mitysom-am62x ttyS2
    [27.414] 
    [27.414] Arago 2023.10 mitysom-am62x ttyS2
    [27.417] 
    [27.417] mitysom-am62x login:root\r
    \r
     root
    
    [27.547] 
    [27.547] [    8.513410] usb 1-1: new high-speed USB device number 2 using xhci-hcd
    [27.547] [    8.668805] usb-storage 1-1:1.0: USB Mass Storage device detected
    [27.657] [    8.681705] scsi host0: usb-storage 1-1:1.0
    [27.668] [    9.249252] usb 2-1: new high-speed USB device number 2 using xhci-hcd
    [28.237] 7[    9.403860] usb-storage 2-1:1.0: USB Mass Storage device detected
    [28.392] [    9.412090] scsi host1: usb-storage 2-1:1.0
    [28.398] [    9.718067] scsi 0:0:0:0: Direct-Access     SanDisk  Cruzer Blade     1.00 PQ: 0 ANSI: 6
    [28.708] [    9.741301] sd 0:0:0:0: [sda] 30629376 512-byte logical blocks: (15.7 GB/14.6 GiB)
    [28.731] [    9.750090] sd 0:0:0:0: [sda] Write Protect is off
    [28.737] [    9.757259] sd 0:0:0:0: [sda] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [28.748] [    9.771652]  sda: sda1
    [28.756] [    9.774668] sd 0:0:0:0: [sda] Attached SCSI removable disk
    [28.762] [   10.422289] scsi 1:0:0:0: Direct-Access     SanDisk  Cruzer Blade     1.00 PQ: 0 ANSI: 6
    [29.412] [   10.443010] sd 1:0:0:0: [sdb] 15630336 512-byte logical blocks: (8.00 GB/7.45 GiB)
    [29.432] [   10.451707] sd 1:0:0:0: [sdb] Write Protect is off
    [29.438] [   10.457332] sd 1:0:0:0: [sdb] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [29.448] [   10.472713]  sdb: sdb1
    [29.457] [   10.475718] sd 1:0:0:0: [sdb] Attached SCSI removable disk
    [29.463] root@mitysom-am62x:~#date 082822402024.40\r
    \r
     date 082822402024.40
    [31.453] Wed Aug 28 22:40:40 UTC 2024
    [31.453] root@mitysom-am62x:~#cd /home/root/test_fixture\r
     
    [31.647] root@mitysom-am62x:~#./run_test_am62x.sh "6252-TX-XXD-RI" "23026345" "80-001632RI-3A" "C4:FF:BC:71:27:7C" "23-07-09" \r
     cd /home/root/test_fixture
    [32.197] root@mitysom-am62x:/home/root/test_fixture# ./run_test_am62x.sh "6252-TX-XXD-RI" 
     "23026345" "80-001632RI-3A" "C4:FF:BC:71:27:7C" "23-07-09" 
    [33.143] [   35.181111] rcu: INFO: rcu_preempt detected stalls on CPUs/tasks:
    [54.169] [   35.187229] rcu: 	0-....: (2 ticks this GP) idle=6afc/1/0x4000000000000004 softirq=7689/7690 fqs=1050
    [54.180] [   35.196437] rcu: 	(detected by 1, t=5255 jiffies, g=2957, q=1399 ncpus=2)
    [54.184] [   35.203211] Task dump for CPU 0:
    [54.188] [   35.206428] task:swapper/0       state:R  running task     stack:0     pid:0     ppid:0      flags:0x0000000a
    [54.198] [   35.216339] Call trace:
    [54.200] [   35.218775]  __switch_to+0xcc/0x130
    [54.204] [   35.222271]  cpu_number+0x0/0x8
    [54.207] 
    [77.203] 
    [77.203] [TIMEOUT]
    [77.203]  sleep 3;  reboot\r
    ARDUINO POW OFF\r
    ^C sleep 3;  reboot
    [77.451] ARDUINO POW OFF
    [77.451] ARDUINO RESP: Turned off power to SOM
    

    Connection-6252-TX-XXD-RI-23026345-2024-08-29-01-06-41.log
    ARDUINO INIT\r
    ARDUINO INIT
    [0.125] ARDUINO RESP: Initialized output pins
    ARDUINO POW OFF\r
    [0.249] 
    [0.249] ARDUINO POW OFF
    [0.249] ARDUINO RESP: Turned off power to SOM
    ARDUINO POW ON\r
    [1.384] 
    [1.384] ARDUINO POW ON
    [1.388] ARDUINO RESP: Turned on power to SOM (VSEL = 3V3)
    [1.388] 
    [1.388] 
    [1.951] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [1.957] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [2.000] Configuring DDR4 for 2GB
    [2.025] SPL initial stack usage: 13392 bytes
    [2.045] Trying to boot from MMC2
    [2.066] Authentication passed
    [2.160] Authentication passed
    [2.166] Authentication passed
    [2.171] Authentication passed
    [2.205] Authentication passed
    [2.212] Starting ATF on ARM64 core...
    [2.217] 
    [2.217] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [2.223] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [2.227] 
    [2.617] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [2.622] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [2.721] SPL initial stack usage: 1904 bytes
    [2.762] Trying to boot from MMC2
    [2.764] Authentication passed
    [2.891] Authentication passed
    [2.900] 
    [3.683] 
    [3.684] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [3.689] 
    [3.689] SoC:   AM62X SR1.0 HS-FS
    [3.692] Model: Critical Link MitySOM-AM62x
    [3.695] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [3.820] DRAM:  2 GiB
    [3.907] Core:  101 devices, 31 uclasses, devicetree: separate
    [3.966] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [3.982] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [4.054] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [4.099] *** Warning - No block device, using default environment
    [4.104] 
    [4.104] In:    serial@2800000
    [4.117] Out:   serial@2800000
    [4.117] Err:   serial@2800000
    [4.117] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [4.172] Hit any key to stop autoboot\r
    :  2  0 
    [4.244] =>md.l 0x43000030 1\r
    md.l 0x43000030 1
    [4.478] 43000030: 00000243                             C...
    ARDUINO MEAS 1V8\r
    ARDUINO MEAS 1V8
    [4.710] ARDUINO RESP: 1759.53 mV
    Test: 1700 <= 1759.53 <= 1900: OK
    [4.710] ARDUINO READ RESETSTAT\r
    ARDUINO READ RESETSTAT
    [4.943] ARDUINO RESP: 0
    ARDUINO READ POR_OUT\r
    ARDUINO READ POR_OUT
    [5.175] ARDUINO RESP: 0
    ARDUINO READ SOM_PRESENT\r
    ARDUINO READ SOM_PRESENT
    [5.476] ARDUINO RESP: 1
    i2c dev 0\r
    [5.537] 
    [5.537] i2c dev 0
    [5.537] Setting bus to 0
    [5.537] =>i2c mw 0x30 0x33 0xff\r
     i2c mw 0x30 0x33 0xff
    [5.652] =>i2c md 0x30 0x33 1\r
    i2c md 0x30 0x33 1
    [5.883] 0033: 04    .
    [5.884] =>ARDUINO WRITE PMIC_PB 1\r
     ARDUINO WRITE PMIC_PB 1
    [6.006] ARDUINO RESP:i2c md 0x30 0x33 1\r
    i2c md 0x30 0x33 1
    [6.442] 0033: 01    .
    ARDUINO WRITE PMIC_PB 0\r
    [6.566] => ARDUINO WRITE PMIC_PB 0
    [6.566] ARDUINO RESP:i2c mw 0x30 0x33 0xff\r
     0
    [6.692] 
    [6.692] i2c mw 0x30 0x33 0xff
    [6.692] =>i2c mw 0x30 0x1e 0x7\r
     i2c mw 0x30 0x1e 0x7
    [6.816] =>ARDUINO READ PMIC_GPIOn\r
    ARDUINO READ PMIC_GPIOn
    [7.047] ARDUINO RESP: 0
    i2c mw 0x30 0x1e 0x6\r
    [7.171] 
    [7.171] i2c mw 0x30 0x1e 0x6
    [7.171] =>ARDUINO READ PMIC_GPIOn\r
    ARDUINO READ PMIC_GPIOn
    [7.404] ARDUINO RESP: 1
    ARDUINO PULSE RESET_REQ 1\r
    [7.591] 
    [7.591] ARDUINO PULSE RESET_REQ 1
    [7.629] ARDUINO RESP: Done
    [7.631] 
    [7.631] 
    [8.219] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [8.225] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [8.268] Configuring DDR4 for 2GB
    [8.293] SPL initial stack usage: 13392 bytes
    [8.313] Trying to boot from MMC2
    [8.334] Authentication passed
    [8.429] Authentication passed
    [8.434] Authentication passed
    [8.440] Authentication passed
    [8.474] Authentication passed
    [8.480] Starting ATF on ARM64 core...
    [8.485] 
    [8.485] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [8.491] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [8.498] 
    [8.885] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [8.890] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [8.989] SPL initial stack usage: 1904 bytes
    [9.030] Trying to boot from MMC2
    [9.033] Authentication passed
    [9.155] Authentication passed
    [9.163] 
    [9.947] 
    [9.947] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [9.954] 
    [9.954] SoC:   AM62X SR1.0 HS-FS
    [9.955] Model: Critical Link MitySOM-AM62x
    [9.959] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [10.084] DRAM:  2 GiB
    [10.170] Core:  101 devices, 31 uclasses, devicetree: separate
    [10.230] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [10.245] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [10.317] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [10.362] *** Warning - No block device, using default environment
    [10.367] 
    [10.368] In:    serial@2800000
    [10.375] Out:   serial@2800000
    [10.377] Err:   serial@2800000
    [10.379] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [10.436] Hit any key to stop autoboot\r
    :  2  0 
    [10.498] =>ARDUINO PULSE MCU_RESET 1\r
     ARDUINO PULSE MCU_RESET 1
    [10.724] ARDUINO RESP: Done
    [10.727] 
    [10.727] 
    [11.313] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [11.319] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [11.362] Configuring DDR4 for 2GB
    [11.388] SPL initial stack usage: 13392 bytes
    [11.407] Trying to boot from MMC2
    [11.428] Authentication passed
    [11.523] Authentication passed
    [11.529] Authentication passed
    [11.534] Authentication passed
    [11.568] Authentication passed
    [11.574] Starting ATF on ARM64 core...
    [11.579] 
    [11.579] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [11.586] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [11.590] 
    [11.980] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [11.985] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [12.083] SPL initial stack usage: 1904 bytes
    [12.125] Trying to boot from MMC2
    [12.127] Authentication passed
    [12.254] Authentication passed
    [12.262] 
    [13.046] 
    [13.046] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [13.051] 
    [13.051] SoC:   AM62X SR1.0 HS-FS
    [13.054] Model: Critical Link MitySOM-AM62x
    [13.057] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [13.182] DRAM:  2 GiB
    [13.269] Core:  101 devices, 31 uclasses, devicetree: separate
    [13.328] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [13.344] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [13.416] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [13.461] *** Warning - No block device, using default environment
    [13.466] 
    [13.466] In:    serial@2800000
    [13.474] Out:   serial@2800000
    [13.476] Err:   serial@2800000
    [13.478] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [13.534] Hit any key to stop autoboot\r
    :  2  0 
    [13.605] =>i2c dev 1; i2c mw 0x38 8 0xbf; i2c mw 0x39 8 0xbf\r
     i2c dev 1; i2c mw 0x38 8 0xbf; i2c mw 0x39 8 0xbf
    [13.919] Setting bus to 1
    [13.919] =>if test $board_name = mitysom-62ax; then setenv platform am62a7; fi\r
     if test $board_name = mitysom-62ax; then setenv platform am62a7; fi
    [14.294] =>if test $board_name = mitysom-62px; then setenv platform am62p5; fi\r
     if test $board_name = mitysom-62px; then setenv platform am62p5; fi
    [14.670] =>if test $board_name = mitysom-62x; then setenv platform am62x; fi\r
     if test $board_name = mitysom-62x; then setenv platform am62x; fi
    [15.045] =>print platform\r
     print platform
    [15.168] platform=am62x
    [15.168] =>setenv boot_fit 0; setenv default_device_tree ti/k3-${platform}-mitysom-testfixture.dtb; setenv fdtfile ti/k3-${platform}-mitysom-testfixture.dtb; setenv name_overlays ''\r
     setenv boot_fit 0; setenv default_device_tree ti/k3-${platform}-mitysom-testfixture.dtb; setenv fdtfile ti/k3-${platform}-mitysom-testfixture.dtb; setenv name_overlays ''
    [16.105] =>setenv optargs audit=0\r
     setenv optargs audit=0
    [16.220] =>mw.b ${loadaddr} 0 1; fatwrite mmc 1:1 ${loadaddr} .psdk_setup 1;\r
     mw.b ${loadaddr} 0 1; fatwrite mmc 1:1 ${loadaddr} .psdk_setup 1;
    [16.594] 1 bytes written in 4 ms (0 Bytes/s)
    [16.594] =>boot\r
     boot
    [16.655] switch to partitions #0, OK
    [16.656] mmc1 is current device
    [16.658] SD/MMC found on device 1
    [16.716] 574 bytes read in 29 ms (18.6 KiB/s)
    [16.750] Loaded env from uEnv.txt
    [16.752] Importing environment from mmc1 ...
    [16.755] 27734528 bytes read in 1116 ms (23.7 MiB/s)
    [17.879] 64042 bytes read in 34 ms (1.8 MiB/s)
    [17.920] Working FDT set to 88000000
    [17.922] ## Flattened Device Tree blob at 88000000
    [17.927]    Booting using the fdt blob at 0x88000000
    [17.931] Working FDT set to 88000000
    [17.934]    Loading Device Tree to 000000008feed000, end 000000008fffffff ... OK
    [17.967] Working FDT set to 8feed000
    [17.969] 
    [17.994] Starting kernel ...
    [17.996] 
    [17.996] [    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
    [18.039] [    0.000000] Linux version 6.6.32-g-g29c357e56d90 (oe-user@oe-host) (aarch64-oe-linux-gcc (GCC) 13.3.0, GNU ld (GNU Binutils) 2.42.0.20240716) #1 SMP PREEMPT Fri Aug 23 16:11:00 UTC 2024
    [18.056] [    0.000000] KASLR disabled due to lack of seed
    [18.061] [    0.000000] Machine model: Critical Link MitySOM-AM62x
    [18.067] [    0.000000] earlycon: ns16550a0 at MMIO32 0x0000000002800000 (options '')
    [18.073] [    0.000000] printk: bootconsole [ns16550a0] enabled
    [18.078] [    0.000000] efi: UEFI not found.
    [18.081] [    0.000000] Reserved memory: created CMA memory pool at 0x00000000f8000000, size 128 MiB
    [18.090] [    0.000000] OF: reserved mem: initialized node linux,cma, compatible id shared-dma-pool
    [18.098] [    0.000000] OF: reserved mem: 0x00000000f8000000..0x00000000ffffffff (131072 KiB) map reusable linux,cma
    [18.108] [    0.000000] OF: reserved mem: 0x0000000080000000..0x000000008007ffff (512 KiB) nomap non-reusable tfa@80000000
    [18.118] [    0.000000] OF: reserved mem: 0x000000009c700000..0x000000009c7fffff (1024 KiB) map non-reusable ramoops@9c700000
    [18.129] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009c800000, size 3 MiB
    [18.137] [    0.000000] OF: reserved mem: initialized node ipc-memories@9c800000, compatible id shared-dma-pool
    [18.146] [    0.000000] OF: reserved mem: 0x000000009c800000..0x000000009cafffff (3072 KiB) nomap non-reusable ipc-memories@9c800000
    [18.157] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009cb00000, size 1 MiB
    [18.165] [    0.000000] OF: reserved mem: initialized node m4f-dma-memory@9cb00000, compatible id shared-dma-pool
    [18.175] [    0.000000] OF: reserved mem: 0x000000009cb00000..0x000000009cbfffff (1024 KiB) nomap non-reusable m4f-dma-memory@9cb00000
    [18.186] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009cc00000, size 14 MiB
    [18.194] [    0.000000] OF: reserved mem: initialized node m4f-memory@9cc00000, compatible id shared-dma-pool
    [18.203] [    0.000000] OF: reserved mem: 0x000000009cc00000..0x000000009d9fffff (14336 KiB) nomap non-reusable m4f-memory@9cc00000
    [18.214] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009da00000, size 1 MiB
    [18.222] [    0.000000] OF: reserved mem: initialized node r5f-dma-memory@9da00000, compatible id shared-dma-pool
    [18.232] [    0.000000] OF: reserved mem: 0x000000009da00000..0x000000009dafffff (1024 KiB) nomap non-reusable r5f-dma-memory@9da00000
    [18.243] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009db00000, size 12 MiB
    [18.251] [    0.000000] OF: reserved mem: initialized node r5f-memory@9db00000, compatible id shared-dma-pool
    [18.265] [    0.000000] OF: reserved mem: 0x000000009db00000..0x000000009e6fffff (12288 KiB) nomap non-reusable r5f-memory@9db00000
    [18.271] [    0.000000] OF: reserved mem: 0x000000009e800000..0x000000009fffffff (24576 KiB) nomap non-reusable optee@9e800000
    [18.282] [    0.000000] Zone ranges:
    [18.329] [    0.000000]   DMA      [mem 0x0000000080000000-0x00000000ffffffff]
    [18.335] [    0.000000]   DMA32    empty
    [18.338] [    0.000000]   Normal   empty
    [18.341] [    0.000000] Movable zone start for each node
    [18.345] [    0.000000] Early memory node ranges
    [18.349] [    0.000000]   node   0: [mem 0x0000000080000000-0x000000008007ffff]
    [18.355] [    0.000000]   node   0: [mem 0x0000000080080000-0x000000009c7fffff]
    [18.362] [    0.000000]   node   0: [mem 0x000000009c800000-0x000000009e6fffff]
    [18.368] [    0.000000]   node   0: [mem 0x000000009e700000-0x000000009e7fffff]
    [18.375] [    0.000000]   node   0: [mem 0x000000009e800000-0x000000009fffffff]
    [18.381] [    0.000000]   node   0: [mem 0x00000000a0000000-0x00000000ffffffff]
    [18.387] [    0.000000] Initmem setup node 0 [mem 0x0000000080000000-0x00000000ffffffff]
    [18.395] [    0.000000] psci: probing for conduit method from DT.
    [18.416] [    0.000000] psci: PSCIv1.1 detected in firmware.
    [18.420] [    0.000000] psci: Using standard PSCI v0.2 function IDs
    [18.426] [    0.000000] psci: Trusted OS migration not required
    [18.431] [    0.000000] psci: SMC Calling Convention v1.4
    [18.435] [    0.000000] percpu: Embedded 29 pages/cpu s81128 r8192 d29464 u118784
    [18.442] [    0.000000] Detected VIPT I-cache on CPU0
    [18.446] [    0.000000] CPU features: detected: GIC system register CPU interface
    [18.453] [    0.000000] CPU features: detected: ARM erratum 845719
    [18.471] [    0.000000] alternatives: applying boot alternatives
    [18.471] [    0.000000] Kernel command line: console=ttyS2,115200n8 audit=0 earlycon=ns16550a,mmio32,0x02800000 mtdparts=spi-nand0:512k(ospi_nand.tiboot3),2m(ospi_nand.tispl),4m(ospi_nand.u-boot),256k(ospi_nand.env),256k(ospi_nand.env.backup),98048k@32m(ospi_nand.rootfs),256k@130816k(ospi_nand.phypattern) root=PARTUUID=076c4a2a-02 rw rootfstype=ext4 rootwait
    [18.495] [    0.000000] audit: disabled (until reboot)
    [18.499] [    0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
    [18.508] [    0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
    [18.517] [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 516096
    [18.524] [    0.000000] mem auto-init: stack:all(zero), heap alloc:off, heap free:off
    [18.531] [    0.000000] software IO TLB: area num 2.
    [18.535] [    0.000000] software IO TLB: mapped [mem 0x00000000f1800000-0x00000000f5800000] (64MB)
    [18.608] [    0.000000] Memory: 1773904K/2097152K available (14720K kernel code, 2252K rwdata, 4936K rodata, 5056K init, 453K bss, 192176K reserved, 131072K cma-reserved)
    [18.669] [    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=2, Nodes=1
    [18.676] [    0.000000] ftrace: allocating 47951 entries in 188 pages
    [18.682] [    0.000000] ftrace: allocated 188 pages with 5 groups
    [18.790] [    0.000000] trace event string verifier disabled
    [18.795] [    0.000000] rcu: Preemptible hierarchical RCU implementation.
    [18.802] [    0.000000] rcu: 	RCU event tracing is enabled.
    [18.806] [    0.000000] rcu: 	RCU restricting CPUs from NR_CPUS=256 to nr_cpu_ids=2.
    [18.813] [    0.000000] 	Trampoline variant of Tasks RCU enabled.
    [18.818] [    0.000000] 	Rude variant of Tasks RCU enabled.
    [18.823] [    0.000000] 	Tracing variant of Tasks RCU enabled.
    [18.828] [    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
    [18.836] [    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
    [18.842] [    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
    [18.856] [    0.000000] GICv3: GIC: Using split EOI/Deactivate mode
    [18.862] [    0.000000] GICv3: 256 SPIs implemented
    [18.870] [    0.000000] GICv3: 0 Extended SPIs implemented
    [18.871] [    0.000000] Root IRQ handler: gic_handle_irq
    [18.875] [    0.000000] GICv3: GICv3 features: 16 PPIs
    [18.879] [    0.000000] GICv3: CPU0: found redistributor 0 region 0:0x0000000001880000
    [18.886] [    0.000000] ITS [mem 0x01820000-0x0182ffff]
    [18.891] [    0.000000] GIC: enabling workaround for ITS: Socionext Synquacer pre-ITS
    [18.898] [    0.000000] ITS@0x0000000001820000: Devices Table too large, reduce ids 20->19
    [18.905] [    0.000000] ITS@0x0000000001820000: allocated 524288 Devices @80c00000 (flat, esz 8, psz 64K, shr 0)
    [18.917] [    0.000000] ITS: using cache flushing for cmd queue
    [18.922] [    0.000000] GICv3: using LPI property table @0x0000000080590000
    [18.928] [    0.000000] GIC: using cache flushing for LPI property table
    [18.934] [    0.000000] GICv3: CPU0: using allocated LPI pending table @0x00000000805a0000
    [18.941] [    0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention.
    [18.948] [    0.000000] arch_timer: cp15 timer(s) running at 200.00MHz (phys).
    [18.955] [    0.000000] clocksource: arch_sys_counter: mask: 0x3ffffffffffffff max_cycles: 0x2e2049d3e8, max_idle_ns: 440795210634 ns
    [18.966] [    0.000000] sched_clock: 58 bits at 200MHz, resolution 5ns, wraps every 4398046511102ns
    [18.975] [    0.008659] Console: colour dummy device 80x25
    [18.980] [    0.013270] Calibrating delay loop (skipped), value calculated using timer frequency.. 400.00 BogoMIPS (lpj=800000)
    [18.990] [    0.023958] pid_max: default: 32768 minimum: 301
    [18.995] [    0.028780] LSM: initializing lsm=capability,integrity
    [19.001] [    0.034173] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
    [19.008] [    0.041763] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
    [19.016] [    0.051958] RCU Tasks: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.026] [    0.059306] RCU Tasks Rude: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.033] [    0.067048] RCU Tasks Trace: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.041] [    0.075051] rcu: Hierarchical SRCU implementation.
    [19.046] [    0.079957] rcu: 	Max phase no-delay instances is 1000.
    [19.052] [    0.085629] Platform MSI: msi-controller@1820000 domain created
    [19.058] [    0.092003] PCI/MSI: /bus@f0000/interrupt-controller@1800000/msi-controller@1820000 domain created
    [19.088] [    0.101508] EFI services will not be available.
    [19.088] [    0.106415] smp: Bringing up secondary CPUs ...
    [19.088] [    0.111858] Detected VIPT I-cache on CPU1
    [19.088] [    0.111967] GICv3: CPU1: found redistributor 1 region 0:0x00000000018a0000
    [19.090] [    0.111988] GICv3: CPU1: using allocated LPI pending table @0x00000000805b0000
    [19.097] [    0.112053] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
    [19.104] [    0.112209] smp: Brought up 1 node, 2 CPUs
    [19.108] [    0.141626] SMP: Total of 2 processors activated.
    [19.113] [    0.146442] CPU features: detected: 32-bit EL0 Support
    [19.118] [    0.151723] CPU features: detected: CRC32 instructions
    [19.123] [    0.157055] CPU: All CPU(s) started at EL2
    [19.128] [    0.161258] alternatives: applying system-wide alternatives
    [19.133] [    0.169170] devtmpfs: initialized
    [19.139] [    0.182934] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
    [19.159] [    0.192957] futex hash table entries: 512 (order: 3, 32768 bytes, linear)
    [19.166] [    0.204709] pinctrl core: initialized pinctrl subsystem
    [19.177] [    0.210825] DMI not present or invalid.
    [19.181] [    0.215752] NET: Registered PF_NETLINK/PF_ROUTE protocol family
    [19.188] [    0.222908] DMA: preallocated 256 KiB GFP_KERNEL pool for atomic allocations
    [19.197] [    0.230274] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations
    [19.205] [    0.238332] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
    [19.213] [    0.247088] thermal_sys: Registered thermal governor 'step_wise'
    [19.220] [    0.247097] thermal_sys: Registered thermal governor 'power_allocator'
    [19.226] [    0.253294] cpuidle: using governor menu
    [19.230] [    0.264162] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
    [19.238] [    0.271175] ASID allocator initialised with 65536 entries
    [19.243] [    0.283801] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu-system-default-pins
    [19.262] [    0.295956] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/jtag-default-pins
    [19.283] [    0.307536] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu-gpio0-loopback-default-pins
    [19.287] [    0.320357] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu_system_pins_default
    [19.299] [    0.336681] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/main-system-default-pins
    [19.314] [    0.347477] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/gpio1-loopback-default-pins
    [19.325] [    0.358520] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/gpio0-loopback-default-pins
    [19.336] [    0.372812] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@1
    [19.349] [    0.382735] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@0
    [19.359] [    0.396208] platform display: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@1
    [19.372] [    0.405705] platform display: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@0
    [19.382] [    0.415557] Modules: 25872 pages in range for non-PLT usage
    [19.388] [    0.415566] Modules: 517392 pages in range for PLT usage
    [19.394] [    0.422334] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages
    [19.401] [    0.434792] HugeTLB: 0 KiB vmemmap can be freed for a 1.00 GiB page
    [19.408] [    0.441209] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages
    [19.415] [    0.448152] HugeTLB: 0 KiB vmemmap can be freed for a 32.0 MiB page
    [19.421] [    0.454563] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages
    [19.428] [    0.461505] HugeTLB: 0 KiB vmemmap can be freed for a 2.00 MiB page
    [19.434] [    0.467916] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages
    [19.441] [    0.474858] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page
    [19.448] [    0.483268] k3-chipinfo 43000014.chipid: Family:AM62X rev:SR1.0 JTAGID[0x0bb7e02f] Detected
    [19.458] [    0.492838] iommu: Default domain type: Translated
    [19.464] [    0.497832] iommu: DMA domain TLB invalidation policy: strict mode
    [19.471] [    0.504533] SCSI subsystem initialized
    [19.475] [    0.508873] usbcore: registered new interface driver usbfs
    [19.498] [    0.514559] usbcore: registered new interface driver hub
    [19.498] [    0.520037] usbcore: registered new device driver usb
    [19.498] [    0.525805] pps_core: LinuxPPS API ver. 1 registered
    [19.498] [    0.530899] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
    [19.507] [    0.540256] PTP clock support registered
    [19.511] [    0.544463] EDAC MC: Ver: 3.0.0
    [19.514] [    0.548384] scmi_core: SCMI protocol bus registered
    [19.520] [    0.553766] FPGA manager framework
    [19.524] [    0.557349] Advanced Linux Sound Architecture Driver Initialized.
    [19.530] [    0.565073] clocksource: Switched to clocksource arch_sys_counter
    [19.538] [    0.571837] VFS: Disk quotas dquot_6.6.0
    [19.542] [    0.575925] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
    [19.549] [    0.590366] NET: Registered PF_INET protocol family
    [19.562] [    0.595683] IP idents hash table entries: 32768 (order: 6, 262144 bytes, linear)
    [19.570] [    0.605331] tcp_listen_portaddr_hash hash table entries: 1024 (order: 2, 16384 bytes, linear)
    [19.581] [    0.614159] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear)
    [19.589] [    0.622099] TCP established hash table entries: 16384 (order: 5, 131072 bytes, linear)
    [19.597] [    0.630331] TCP bind hash table entries: 16384 (order: 7, 524288 bytes, linear)
    [19.604] [    0.638371] TCP: Hash tables configured (established 16384 bind 16384)
    [19.612] [    0.645281] UDP hash table entries: 1024 (order: 3, 32768 bytes, linear)
    [19.619] [    0.652210] UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes, linear)
    [19.626] [    0.659751] NET: Registered PF_UNIX/PF_LOCAL protocol family
    [19.632] [    0.666225] RPC: Registered named UNIX socket transport module.
    [19.639] [    0.672310] RPC: Registered udp transport module.
    [19.644] [    0.677122] RPC: Registered tcp transport module.
    [19.648] [    0.681932] RPC: Registered tcp-with-tls transport module.
    [19.654] [    0.687541] RPC: Registered tcp NFSv4.1 backchannel transport module.
    [19.661] [    0.694142] NET: Registered PF_XDP protocol family
    [19.666] [    0.699066] PCI: CLS 0 bytes, default 64
    [19.670] [    0.704676] Initialise system trusted keyrings
    [19.676] [    0.709539] workingset: timestamp_bits=46 max_order=19 bucket_order=0
    [19.701] [    0.716564] squashfs: version 4.0 (2009/01/31) Phillip Lougher
    [19.701] [    0.722905] NFS: Registering the id_resolver key type
    [19.701] [    0.728129] Key type id_resolver registered
    [19.701] [    0.732410] Key type id_legacy registered
    [19.703] [    0.736533] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
    [19.710] [    0.743391] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering...
    [19.717] [    0.791539] Key type asymmetric registered
    [19.762] [    0.795734] Asymmetric key parser 'x509' registered
    [19.767] [    0.800796] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245)
    [19.775] [    0.808561] io scheduler mq-deadline registered
    [19.780] [    0.813232] io scheduler kyber registered
    [19.784] [    0.817399] io scheduler bfq registered
    [19.788] [    0.824836] pinctrl-single 4084000.pinctrl: 34 pins, size 136
    [19.797] [    0.831735] pinctrl-single f4000.pinctrl: 171 pins, size 684
    [19.804] [    0.846493] Serial: 8250/16550 driver, 12 ports, IRQ sharing enabled
    [19.820] [    0.865114] loop: module loaded
    [19.835] [    0.869647] megasas: 07.725.01.00-rc1
    [19.840] [    0.878054] tun: Universal TUN/TAP device driver, 1.6
    [19.850] [    0.884104] thunder_xcv, ver 1.0
    [19.854] [    0.887472] thunder_bgx, ver 1.0
    [19.857] [    0.890812] nicpf, ver 1.0
    [19.860] [    0.893759] e1000: Intel(R) PRO/1000 Network Driver
    [19.865] [    0.898750] e1000: Copyright (c) 1999-2006 Intel Corporation.
    [19.871] [    0.904659] e1000e: Intel(R) PRO/1000 Network Driver
    [19.876] [    0.909738] e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
    [19.882] [    0.915821] igb: Intel(R) Gigabit Ethernet Network Driver
    [19.900] [    0.921351] igb: Copyright (c) 2007-2014 Intel Corporation.
    [19.900] [    0.927076] igbvf: Intel(R) Gigabit Virtual Function Network Driver
    [19.900] [    0.933496] igbvf: Copyright (c) 2009 - 2012 Intel Corporation.
    [19.906] [    0.939687] sky2: driver version 1.30
    [19.910] [    0.944547] VFIO - User Level meta-driver version: 0.3
    [19.916] [    0.950472] usbcore: registered new interface driver usb-storage
    [19.923] [    0.957385] i2c_dev: i2c /dev entries driver
    [19.928] [    0.963686] sdhci: Secure Digital Host Controller Interface driver
    [19.936] [    0.970049] sdhci: Copyright(c) Pierre Ossman
    [19.941] [    0.974779] sdhci-pltfm: SDHCI platform and OF driver helper
    [19.947] [    0.981363] ledtrig-cpu: registered to indicate activity on CPUs
    [19.954] [    0.987880] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping ....
    [19.961] [    0.994950] usbcore: registered new interface driver usbhid
    [19.967] [    1.000656] usbhid: USB HID core driver
    [19.971] [    1.004984] omap-mailbox 29000000.mailbox: omap mailbox rev 0x66fc9100
    [19.978] [    1.013395] hw perfevents: enabled with armv8_cortex_a53 PMU driver, 7 counters available
    [19.988] [    1.022508] optee: probing for conduit method.
    [19.994] [    1.027098] optee: revision 4.2 (12d7c4ee)
    [19.998] [    1.027475] optee: dynamic shared memory is enabled
    [20.003] [    1.037191] optee: initialized driver
    [20.007] [    1.043085] Initializing XFRM netlink socket
    [20.014] [    1.047551] NET: Registered PF_PACKET protocol family
    [20.019] [    1.052857] Key type dns_resolver registered
    [20.024] [    1.067122] registered taskstats version 1
    [20.038] [    1.071489] Loading compiled-in X.509 certificates
    [20.043] [    1.090803] ti-sci 44043000.system-controller: ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [20.067] [    1.147398] ti-sci-clk 44043000.system-controller:clock-controller: recalc-rate failed for dev=81, clk=20, ret=-19
    [20.124] [    1.176448] i2c 0-0030: Fixed dependency cycle(s) with /bus@f0000/i2c@20000000/pmic@30/regulators/buck2
    [20.153] [    1.186537] omap_i2c 20000000.i2c: bus 0 rev0.12 at 400 kHz
    [20.159] [    1.194082] pca953x 1-0020: supply vcc not found, using dummy regulator
    [20.167] [    1.201124] pca953x 1-0020: using no AI
    [20.172] [    1.230393] i2c 1-0010: Fixed dependency cycle(s) with /bus@f0000/ticsi2rx@30102000/csi-bridge@30101000
    [20.207] [    1.240384] omap_i2c 20010000.i2c: bus 1 rev0.12 at 100 kHz
    [20.213] [    1.246418] ti-sci-intr 4210000.interrupt-controller: Interrupt Router 5 domain created
    [20.221] [    1.254834] ti-sci-intr bus@f0000:interrupt-controller@a00000: Interrupt Router 3 domain created
    [20.230] [    1.264191] ti-sci-inta 48000000.interrupt-controller: Interrupt Aggregator domain 28 created
    [20.239] [    1.274873] ti-udma 485c0100.dma-controller: Number of rings: 82
    [20.248] [    1.284292] ti-udma 485c0100.dma-controller: Channels: 48 (bchan: 18, tchan: 12, rchan: 18)
    [20.259] [    1.296102] ti-udma 485c0000.dma-controller: Number of rings: 150
    [20.269] [    1.308361] ti-udma 485c0000.dma-controller: Channels: 35 (tchan: 20, rchan: 15)
    [20.282] [    1.319333] printk: console [ttyS2] disabled
    [20.290] [    1.324222] 2800000.serial: ttyS2 at MMIO 0x2800000 (irq = 238, base_baud = 3000000) is a 8250
    [20.303] [    1.333279] printk: console [ttyS2] enabled
    [20.304] [    1.333279] printk: console [ttyS2] enabled
    [20.308] [    1.341754] printk: bootconsole [ns16550a0] disabled
    [20.313] [    1.341754] printk: bootconsole [ns16550a0] disabled
    [20.318] [    1.360295] spi-nor spi0.0: unrecognized JEDEC id bytes: ff ff ff ff ff ff
    [20.334] [    1.373325] mmc0: CQHCI version 5.10
    [20.343] [    1.413026] input: tps65219-pwrbutton as /devices/platform/bus@f0000/20000000.i2c/i2c-0/0-0030/tps65219-pwrbutton.2.auto/input/input0
    [20.392] [    1.425184] mmc0: SDHCI controller on fa10000.mmc [fa10000.mmc] using ADMA 64-bit
    [20.399] [    1.425686] VDD_CORE: Bringing 750000uV into 850000-850000uV
    [20.405] [    1.451686] debugfs: Directory 'pd:186' with parent 'pm_genpd' already present!
    [20.425] [    1.460108] clk: Disabling unused clocks
    [20.431] [    1.464535] mmc1: CQHCI version 5.10
    [20.435] [    1.466928] ti-sci-clk 44043000.system-controller:clock-controller: is_prepared failed for dev=81, clk=20, ret=-19
    [20.445] [    1.483777] ALSA device list:
    [20.453] [    1.486764]   No soundcards found.
    [20.457] [    1.504575] mmc1: SDHCI controller on fa00000.mmc [fa00000.mmc] using ADMA 64-bit
    [20.479] [    1.512356] Waiting for root device PARTUUID=076c4a2a-02...
    [20.484] [    1.569341] mmc1: new ultra high speed SDR104 SDHC card at address aaaa
    [20.542] [    1.576843] mmcblk1: mmc1:aaaa SA08G 7.40 GiB
    [20.548] [    1.584450]  mmcblk1: p1 p2
    [20.554] [    1.624061] EXT4-fs (mmcblk1p2): mounted filesystem dd8b7318-d11a-4d67-b3b9-a3869236fe3f r/w with ordered data mode. Quota mode: none.
    [20.603] [    1.636306] VFS: Mounted root (ext4 filesystem) on device 179:2.
    [20.609] [    1.643479] devtmpfs: mounted
    [20.613] [    1.651301] Freeing unused kernel memory: 5056K
    [20.622] [    1.655987] Run /sbin/init as init process
    [20.627] [    1.855118] systemd[1]: System time before build time, advancing clock.
    [20.828] [    1.881726] systemd[1]: Failed to find module 'autofs4'
    [20.853] [    1.910838] NET: Registered PF_INET6 protocol family
    [20.882] [    1.916938] Segment Routing with IPv6
    [20.887] [    1.920723] In-situ OAM (IOAM) with IPv6
    [20.891] [    1.958073] systemd[1]: systemd 255.4^ running in system mode (+PAM -AUDIT -SELINUX -APPARMOR +IMA -SMACK +SECCOMP -GCRYPT -GNUTLS -OPENSSL +ACL +BLKID -CURL -ELFUTILS -FIDO2 -IDN2 -IDN -IPTC +KMOD -LIBCRYPTSETUP +LIBFDISK -PCRE2 -PWQUALITY -P11KIT -QRENCODE -TPM2 -BZIP2 -LZ4 -XZ -ZLIB +ZSTD -BPF_FRAMEWORK -XKBCOMMON +UTMP +SYSVINIT default-hierarchy=unified)
    [20.956] [    1.990006] systemd[1]: Detected architecture arm64.
    [20.961] 
    [20.964] Welcome to Arago 2023.10!
    [20.967] 
    
    [20.968] [    2.012039] systemd[1]: Hostname set to <mitysom-am62x>.
    [20.984] [    2.437860] systemd[1]: /etc/systemd/system/sync-clocks.service:11: Standard output type syslog is obsolete, automatically updating to journal. Please update your unit file, and consider removing the setting altogether.
    [21.424] [    2.513532] systemd[1]: Queued start job for default target Multi-User System.
    [21.487] [    2.549759] systemd[1]: Created slice Slice /system/getty.
    [21.522] [  OK  ] Created slice Slice /system/getty.
    
    [21.529] [    2.575144] systemd[1]: Created slice Slice /system/modprobe.
    [21.547] [  OK  ] Created slice Slice /system/modprobe.
    
    [21.554] [    2.599143] systemd[1]: Created slice Slice /system/serial-getty.
    [21.572] [  OK  ] Created slice Slice /system/serial-getty.
    
    [21.579] [    2.622553] systemd[1]: Created slice User and Session Slice.
    [21.595] [  OK  ] Created slice User and Session Slice.
    
    [21.601] [    2.645620] systemd[1]: Started Dispatch Password Requests to Console Directory Watch.
    [21.620] [  OK  ] Started Dispatch Password Requests to Console Directory Watch.
    
    [21.629] [    2.669484] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
    [21.645] [  OK  ] Started Forward Password Requests to Wall Directory Watch.
    
    [21.652] [    2.693269] systemd[1]: Expecting device /dev/ttyS2...
    [21.665]          Expecting device /dev/ttyS2...
    
    [21.670] [    2.713317] systemd[1]: Reached target Path Units.
    [21.685] [  OK  ] Reached target Path Units.
    
    [21.690] [    2.733228] systemd[1]: Reached target Remote File Systems.
    [21.705] [  OK  ] Reached target Remote File Systems.
    
    [21.718] [    2.753194] systemd[1]: Reached target Slice Units.
    [21.725] [  OK  ] Reached target Slice Units.
    
    [21.730] [    2.773221] systemd[1]: Reached target Swaps.
    [21.744] [  OK  ] Reached target Swaps.
    
    [21.749] [    2.796023] systemd[1]: Listening on Syslog Socket.
    [21.767] [  OK  ] Listening on Syslog Socket.
    
    [21.773] [    2.825254] systemd[1]: Listening on Process Core Dump Socket.
    [21.798] [  OK  ] Listening on Process Core Dump Socket.
    
    [21.804] [    2.845775] systemd[1]: Listening on initctl Compatibility Named Pipe.
    [21.819] [  OK  ] Listening on initctl Compatibility Named Pipe.
    
    [21.826] [    2.875940] systemd[1]: Journal Audit Socket was skipped because of an unmet condition check (ConditionSecurity=audit).
    [21.853] [    2.887787] systemd[1]: Listening on Journal Socket (/dev/log).
    [21.860] [  OK  ] Listening on Journal Socket (/dev/log).
    
    [21.867] [    2.910036] systemd[1]: Listening on Journal Socket.
    [21.881] [  OK  ] Listening on Journal Socket.
    
    [21.887] [    2.930228] systemd[1]: Listening on Network Service Netlink Socket.
    [21.903] [  OK  ] Listening on Network Service Netlink Socket.
    
    [21.921] [    2.954144] systemd[1]: Listening on udev Control Socket.
    [21.926] [  OK  ] Listening on udev Control Socket.
    
    [21.932] [    2.977851] systemd[1]: Listening on udev Kernel Socket.
    [21.950] [  OK  ] Listening on udev Kernel Socket.
    
    [21.956] [    2.997889] systemd[1]: Listening on User Database Manager Socket.
    [21.971] [  OK  ] Listening on User Database Manager Socket.
    
    [21.978] [    3.049591] systemd[1]: Mounting Huge Pages File System...
    [22.022]          Mounting Huge Pages File System...
    
    [22.027] [    3.073495] systemd[1]: Mounting POSIX Message Queue File System...
    [22.046]          Mounting POSIX Message Queue File System...
    
    [22.053] [    3.117728] systemd[1]: Mounting Kernel Debug File System...
    [22.090]          Mounting Kernel Debug File System...
    
    [22.099] [    3.153704] systemd[1]: Mounting Kernel Trace File System...
    [22.126]          Mounting Kernel Trace File System...
    
    [22.132] [    3.200740] systemd[1]: Mounting Temporary Directory /tmp...
    [22.173]          Mounting Temporary Directory /tmp...
    
    [22.179] [    3.226467] systemd[1]: Starting Create List of Static Device Nodes...
    [22.199]          Starting Create List of Static Device Nodes...
    
    [22.206] [    3.274546] systemd[1]: Starting Load Kernel Module configfs...
    [22.247]          Starting Load Kernel Module configfs...
    
    [22.253] [    3.306421] systemd[1]: Starting Load Kernel Module drm...
    [22.278]          Starting Load Kernel Module drm...
    
    [22.284] [    3.350421] systemd[1]: Starting Load Kernel Module fuse...
    [22.322]          Starting Load Kernel Module fuse...
    
    [22.328] [    3.397984] systemd[1]: Starting Journal Service...
    [22.369]          Starting Journal Service...
    
    [22.377] [    3.415698] fuse: init (API version 7.39)
    [22.386] [    3.423463] systemd[1]: Load Kernel Modules was skipped because no trigger condition checks were met.
    [22.399] [    3.462185] systemd[1]: Starting Generate network units from Kernel command line...
    [22.436]          Starting Generate network units from Kernel command line...
    
    [22.444] [    3.478385] systemd-journald[99]: Collecting audit messages is disabled.
    [22.452] [    3.494161] systemd[1]: Starting Remount Root and Kernel File Systems...
    [22.467]          Starting Remount Root and Kernel File Systems...
    
    [22.474] [    3.539476] systemd[1]: Starting Apply Kernel Variables...
    [22.511]          Starting Apply Kernel Variables...
    
    [22.524] [    3.602320] systemd[1]: Starting Coldplug All udev Devices...
    [22.575]          Starting Coldplug All udev Devices...
    
    [22.585] [    3.621768] EXT4-fs (mmcblk1p2): re-mounted dd8b7318-d11a-4d67-b3b9-a3869236fe3f ro. Quota mode: none.
    [22.598] [    3.648172] systemd[1]: Started Journal Service.
    [22.619] [  OK  ] Started Journal Service.
    
    [22.625] [  OK  ] Mounted Huge Pages File System.
    
    [22.651] [  OK  ] Mounted POSIX Message Queue File System.
    
    [22.668] [  OK  ] Mounted Kernel Debug File System.
    
    [22.683] [  OK  ] Mounted Kernel Trace File System.
    
    [22.699] [  OK  ] Mounted Temporary Directory /tmp.
    
    [22.715] [  OK  ] Finished Create List of Static Device Nodes.
    
    [22.733] [  OK  ] Finished Load Kernel Module configfs.
    
    [22.755] [  OK  ] Finished Load Kernel Module drm.
    
    [22.774] [  OK  ] Finished Load Kernel Module fuse.
    
    [22.786] [  OK  ] Finished Generate network units from Kernel command line.
    
    [22.806] [  OK  ] Finished Remount Root and Kernel File Systems.
    
    [22.825] [  OK  ] Finished Apply Kernel Variables.
    
    [22.843] [  OK  ] Reached target Preparation for Network.
    
    [22.897]          Mounting FUSE Control File System...
    
    [22.934]          Mounting Kernel Configuration File System...
    
    [22.963] \r
             Starting Flush Journal to Persistent Storage...
    
    [23.062]          Starting Create Static Device Nodes in /dev gracefully...
    
    [23.062] [  OK  ] Mounted FUSE Control File System.
    
    [23.067] [  OK  ] Mounted Kernel Configuration File System.
    
    [23.074] [    4.169760] systemd-journald[99]: Received client request to flush runtime journal.
    [23.144] [  OK  ] Finished Flush Journal to Persistent Storage.
    
    [23.176] [  OK  ] Finished Coldplug All udev Devices.
    
    [23.206] [  OK  ] Finished Create Static Device Nodes in /dev gracefully.
    
    [23.226]          Starting Create Static Device Nodes in /dev...
    
    [23.261] [  OK  ] Finished Create Static Device Nodes in /dev.
    
    [23.316] [  OK  ] Reached target Preparation for Local File Systems.
    
    [23.337]          Mounting /var/volatile...
    
    [23.369]          Starting Rule-based Manager for Device Events and Files...
    
    [23.393] [  OK  ] Mounted /var/volatile.
    
    [23.417]          Starting Bind mount volatile /var/cache...
    
    [23.441]          Starting Bind mount volatile /var/lib...
    
    [23.459]          Starting Bind mount volatile /var/spool...
    
    [23.499]          Starting Bind mount volatile /srv...
    
    [23.541] [  OK  ] Started Rule-based Manager for Device Events and Files.
    
    [23.635]          Starting Network Configuration...
    
    [23.696] [  OK  ] Finished Bind mount volatile /var/cache.
    
    [23.723] [  OK  ] Finished Bind mount volatile /var/lib.
    
    [23.743] [  OK  ] Finished Bind mount volatile /var/spool.
    
    [23.763] [  OK  ] Finished Bind mount volatile /srv.
    
    [23.781] [  OK  ] Reached target Local File Systems.
    
    [23.826]          Starting Load/Save OS Random Seed...
    
    [23.878]          Starting Create Volatile Files and Directories...
    
    [23.899]          Starting User Database Manager...
    
    [23.960] [  OK  ] Finished Create Volatile Files and Directories.
    
    [24.148] [  OK  ] Found device /dev/ttyS2.
    
    [24.181]          Starting Network Name Resolution...
    
    [24.243]          Starting Network Time Synchronization...
    
    [24.327]          Starting Record System Boot/Shutdown in UTMP...
    
    [24.405] [  OK  ] Started User Database Manager.
    
    [24.414] [  OK  ] Finished Record System Boot/Shutdown in UTMP.
    
    [24.721] [    5.961188] random: crng init done
    [24.931] [  OK  ] Finished Load/Save OS Random Seed.
    
    [24.961] [  OK  ] Started Network Time Synchronization.
    
    [25.087] [  OK  ] Reached target System Time Set.
    
    [25.096] [    6.133047] cfg80211: Loading compiled-in X.509 certificates for regulatory database
    [25.110] [    6.184594] Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
    [25.163] [    6.192520] Loaded X.509 cert 'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600'
    [25.166] [    6.203284] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
    [25.178] [    6.216458] cfg80211: failed to load regulatory.db
    [25.188] [  OK  ] Started Network Name Resolution.
    
    [25.198] [  OK  ] Reached target Host and Network Name Lookups.
    
    [25.209] [  OK  ] Reached target System Initialization.
    
    [25.227] [  OK  ] Started Daily Cleanup of Temporary Directories.
    
    [25.237] [  OK  ] Reached target Timer Units.
    
    [25.245] [  OK  ] Listening on D-Bus System Message Bus Socket.
    
    [25.266] [  OK  ] Listening on dropbear.socket.
    
    [25.310] [  OK  ] Reached target Socket Units.
    
    [25.326] [  OK  ] Reached target Basic System.
    
    [25.334] [    6.413370] at24 0-0050: supply vcc not found, using dummy regulator
    [25.386] [  OK  ] Started Kernel Logging Service.
    
    [25.395] [    6.439006] at24 0-0050: 4096 byte 24c32 EEPROM, read-only
    [25.411] [  OK  ] Started System Logging Service.[    6.452609] mc: Linux media interface: v0.10
    [25.427] 
    
    [25.428]          Starting D-Bus System Message Bus...
    
    [25.451] [    6.496412] videodev: Linux video capture interface: v2.00
    [25.468]          Starting User Login Management...
    
    [25.538] [  OK  ] Started Network Configuration.
    
    [25.553] [  OK  ] Reached target Network.
    
    [25.568]          Starting Permit User Sessions...
    
    [25.617] [    6.717830] i2c 1-0010: Fixed dependency cycle(s) with /bus@f0000/ticsi2rx@30102000/csi-bridge@30101000
    [25.694] [    6.731531] platform 30101000.csi-bridge: Fixed dependency cycle(s) with /bus@f0000/i2c@20010000/camera@10
    [25.708] [  OK  ] Finished Permit User Sessions.
    
    [25.771] [  OK  ] Started D-Bus System Message Bus.
    
    [25.784] [    6.828414] imx219 1-0010: supply VANA not found, using dummy regulator
    [25.801] [  OK  ] Started Getty on tty1.
    
    [25.854] [    6.897915] imx219 1-0010: supply VDIG not found, using dummy regulator
    [25.871] [    6.910229] imx219 1-0010: supply VDDL not found, using dummy regulator
    [25.883] [    6.933448] tidss 30200000.dss: failed to init OLDI: -517
    [25.905] [  OK  ] Started Serial Getty on ttyS2.
    
    [25.926] [  OK  ] Reached target Login Prompts.
    
    [25.943] [    6.990274] tidss 30200000.dss: failed to init OLDI: -517
    [25.962] [    7.029688] tidss 30200000.dss: failed to init OLDI: -517
    [26.002] [    7.036415] rtc-ti-k3 2b1f0000.rtc: registered as rtc0
    [26.008]          Starting Synchronize System and HW clocks...
    
    [26.014] [    7.056595] tidss 30200000.dss: failed to init OLDI: -517
    [26.028] [    7.063930] rtc-ti-k3 2b1f0000.rtc: setting system clock to 1970-01-01T00:00:15 UTC (15)
    [26.039] [    7.074105] tidss 30200000.dss: failed to init OLDI: -517
    [26.046] [    7.074997] systemd-journald[99]: Time jumped backwards, rotating.
    [26.052] [  OK  ] Started User Login Management.
    
    [26.067] [  OK  ] Reached target Multi-User System.
    
    [26.092] [    7.133278] tidss 30200000.dss: failed to init OLDI: -517
    [26.105]          Starting Record Runlevel Change in UTMP...[    7.198879] tidss 30200000.dss: failed to init OLDI: -517
    [26.179] 
    
    [26.179] [    7.213669] tidss 30200000.dss: failed to init OLDI: -517
    [26.186] [  OK  ] Finished Synchronize System and HW clocks.
    
    [26.204] [  OK  ] Finished Record Runlevel Change in UTMP.
    
    [26.259] [    7.349200] platform 78000000.r5f: R5F core may have been powered on by a different host, programmed state (0) != actual state (1)
    [26.327] [    7.382634] panel-simple display: supply power not found, using dummy regulator
    [26.356] [    7.405493] platform 78000000.r5f: configured R5F for IPC-only mode
    [26.380] [    7.423404] pvrsrvkm: loading out-of-tree module taints kernel.
    [26.396] [    7.456183] platform 78000000.r5f: assigned reserved memory node r5f-dma-memory@9da00000
    [26.431] [    7.474887] [drm] Initialized tidss 1.0.0 20180215 for 30200000.dss on minor 0
    [26.449] [    7.497422] Console: switching to colour frame buffer device 160x50
    [26.497] [    7.518287] remoteproc remoteproc0: 78000000.r5f is available
    [26.503] [    7.518387] remoteproc remoteproc0: attaching to 78000000.r5f
    [26.508] [    7.530440] platform 78000000.r5f: R5F core initialized in IPC-only mode
    [26.515] [    7.535447] tidss 30200000.dss: [drm] fb0: tidssdrmfb frame buffer device
    [26.522] [    7.561446] rproc-virtio rproc-virtio.4.auto: assigned reserved memory node r5f-dma-memory@9da00000
    [26.537] [    7.608132] PVR_K:  171: Device: fd00000.gpu
    [26.579] [    7.623311] virtio_rpmsg_bus virtio0: creating channel ti.ipc4.ping-pong addr 0xd
    [26.597] [    7.631354] virtio_rpmsg_bus virtio0: creating channel rpmsg_chrdev addr 0xe
    [26.605] [    7.642580] virtio_rpmsg_bus virtio0: rpmsg host is online
    [26.615] [    7.659130] rproc-virtio rproc-virtio.4.auto: registered virtio0 (type 7)
    [26.632] [    7.666286] remoteproc remoteproc0: remote processor 78000000.r5f is now attached
    [26.640] [    7.709043] PVR_K:  171: Read BVNC 33.15.11.3 from HW device registers
    [26.682] [    7.719421] remoteproc remoteproc1: 30074000.pru is available
    [26.692] [    7.721190] PVR_K:  171: RGX Device registered with BVNC 33.15.11.3
    [26.698] [    7.727753] remoteproc remoteproc2: 30078000.pru is available
    [26.704] [    7.741023] [drm] Initialized pvr 24.1.6554834 20170530 for fd00000.gpu on minor 1
    [26.715] [    7.819643] cdns-csi2rx 30101000.csi-bridge: Probed CSI2RX with 2/4 lanes, 4 streams, external D-PHY
    [26.795] [    8.159374] xhci-hcd xhci-hcd.5.auto: xHCI Host Controller
    [27.131] [    8.202732] xhci-hcd xhci-hcd.5.auto: new USB bus registered, assigned bus number 1
    [27.177] [    8.239496] xhci-hcd xhci-hcd.5.auto: USB3 root hub has no ports
    [27.212] [    8.248393] xhci-hcd xhci-hcd.5.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000008000000010
    [27.224] [    8.260998] xhci-hcd xhci-hcd.5.auto: irq 487, io mem 0x31000000
    [27.233] [    8.276223] hub 1-0:1.0: USB hub found
    [27.246] [    8.283834] hub 1-0:1.0: 1 port detected
    [27.254] [    8.292082] xhci-hcd xhci-hcd.6.auto: xHCI Host Controller
    [27.264] [    8.297701] xhci-hcd xhci-hcd.6.auto: new USB bus registered, assigned bus number 2
    [27.272] [    8.305825] xhci-hcd xhci-hcd.6.auto: USB3 root hub has no ports
    [27.278] [    8.311919] xhci-hcd xhci-hcd.6.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000008000000010
    [27.288] [    8.321570] xhci-hcd xhci-hcd.6.auto: irq 488, io mem 0x31100000
    [27.294] [    8.329523] hub 2-0:1.0: USB hub found
    [27.300] [    8.333385] hub 2-0:1.0: 1 port detected
    [27.304] 
    
    [27.346]  _____                    _____           _         _   
    [27.351] |  _  |___ ___ ___ ___   |  _  |___ ___  |_|___ ___| |_ 
    [27.356] |     |  _| .'| . | . |  |   __|  _| . | | | -_|  _|  _|
    [27.361] |__|__|_| |__,|_  |___|  |__|  |_| |___|_| |___|___|_|  
    [27.366]               |___|                    |___|            
    [27.371] 
    [27.372] Arago Project mitysom-am62x ttyS2
    [27.375] 
    [27.375] Arago 2023.10 mitysom-am62x ttyS2
    [27.378] 
    [27.378] mitysom-am62x login:root\r
    \r
     root
    
    [27.510] 
    [27.510] [    8.556857] usb 1-1: new high-speed USB device number 2 using xhci-hcd
    [27.530] [    8.721349] usb-storage 1-1:1.0: USB Mass Storage device detected
    [27.694] [    8.730745] scsi host0: usb-storage 1-1:1.0
    [27.701] [    9.197111] usb 2-1: new high-speed USB device number 2 using xhci-hcd
    [28.170] 7[    9.350758] usb-storage 2-1:1.0: USB Mass Storage device detected
    [28.323] [    9.360938] scsi host1: usb-storage 2-1:1.0
    [28.332] [    9.749976] scsi 0:0:0:0: Direct-Access     SanDisk  Cruzer Blade     1.00 PQ: 0 ANSI: 6
    [28.724] [    9.772645] sd 0:0:0:0: [sda] 30629376 512-byte logical blocks: (15.7 GB/14.6 GiB)
    [28.747] [    9.781150] sd 0:0:0:0: [sda] Write Protect is off
    [28.752] [    9.786456] sd 0:0:0:0: [sda] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [28.762] [    9.803430]  sda: sda1
    [28.772] [    9.806372] sd 0:0:0:0: [sda] Attached SCSI removable disk
    [28.778] [   10.390245] scsi 1:0:0:0: Direct-Access     SanDisk  Cruzer Blade     1.00 PQ: 0 ANSI: 6
    [29.365] [   10.409250] sd 1:0:0:0: [sdb] 15630336 512-byte logical blocks: (8.00 GB/7.45 GiB)
    [29.383] [   10.418029] sd 1:0:0:0: [sdb] Write Protect is off
    [29.389] [   10.423776] sd 1:0:0:0: [sdb] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [29.399] [   10.440398]  sdb: sdb1
    [29.409] [   10.443334] sd 1:0:0:0: [sdb] Attached SCSI removable disk
    [29.415] root@mitysom-am62x:~#date 082901072024.13\r
    \r
     date 082901072024.13
    [31.413] Thu Aug 29 01:07:13 UTC 2024
    [31.413] root@mitysom-am62x:~#cd /home/root/test_fixture\r
     
    [31.601] root@mitysom-am62x:~#./run_test_am62x.sh "6252-TX-XXD-RI" "23026345" "80-001632RI-3A" "C4:FF:BC:71:27:7C" "23-07-09" \r
     cd /home/root/test_fixture
    [32.150] root@mitysom-am62x:/home/root/test_fixture# ./run_test_am62x.sh "6252-TX-XXD-RI" 
     "23026345" "80-001632RI-3A" "C4:FF:BC:71:27:7C" "23-07-09" 
    [32.150] 
    [32.150] TESTFIXTURE:Starting MitySOM-AM62x device tests...
    [32.154] 
    [32.154] 
    [32.154] TESTFIXTURE:Test script version: 7 (03/26/2024)
    [32.159] 
    [32.159] 
    [32.159] TESTFIXTURE:Thu Aug 29 01:07:13 UTC 2024
    [32.163] 
    [32.163] 
    [32.163] Build Info:
    [32.164] Out-of-Jenkins build, 08/23/2024_16:17:40
    [32.168] Setup HDMI test pattern early
    [32.171] Opened /dev/fb0 [tidssdrmfb]
    [32.199] Framebuffer mapped at 0xffffa05c8000 [1280 x 800 32bpp = 4096000 bytes]
    [32.206] finf.line_length: 5120
    [32.208] finf.smem_len: 4096000
    [32.210] vinf.xres: 1280 y: 800
    [32.212] vinf.xres_virtual: 1280 y: 800
    [32.215] vinf.xoffset: 0 y: 0
    [32.217] vinf.bits_per_pixel: 32
    [32.219] vinf.grayscale: 0
    [32.221] vinf.red.offset: 16 length: 8 msb_right: 0
    [32.224] vinf.green.offset: 8 length: 8 msb_right: 0
    [32.228] vinf.blue.offset: 0 length: 8 msb_right: 0
    [32.232] vinf.transp.offset: 0 length: 0 msb_right: 0
    [32.236] Unblanking display
    [32.238] Turning off cursor blink
    [32.240] Running 1 tests...
    [32.242] Running test: vbars [ Vertical Bars ]
    [32.245] vbars: from left blue, green, then red
    [32.249] Finished
    [32.250] 
    [32.250] +-------------------------------------------------------------------------------
    [32.257] | Factory Config Test
    [32.259] +-------------------------------------------------------------------------------
    [32.266] 
    [32.266] TESTFIXTURE:Factory Config Test
    [32.269] 
    [32.269] Magic   = 012C0138
    [32.271] Version = 1.02
    [32.337] Model Number  = 6252-TX-XXD-RI
    [32.752] Serial Number = 23026345
    [32.755] MAC Address   = C4:FF:BC:71:27:7C
    [32.758] Part Number   = 80-001632RI-3A
    [32.857] Date Code     = 23-07-09
    [32.960] 
    [32.960] +-------------------------------------------------------------------------------
    [32.968] | Power Test
    [32.969] +-------------------------------------------------------------------------------
    [32.976] 
    [32.976] TESTFIXTURE:Power Test
    [32.978] 
    [32.978] Testing HW Monitor
    [32.983] Sensor: /sys/class/hwmon/hwmon0/in1_input
    [32.987] Label: Power Monitor mVolts
    [32.990] Low Limit: 3200
    [32.991] High Limit: 3500
    [32.993] Current Value: 3275
    [32.994] PASSED
    [32.995] Testing HW Monitor
    [33.012] Sensor: /sys/class/hwmon/hwmon0/power1_input
    [33.016] Label: Power Monitor uWatts
    [33.018] Low Limit: 1000000
    [33.020] High Limit: 2500000
    [33.022] Current Value: 1465563
    [33.024] PASSED
    [33.025] 
    [33.025] +-------------------------------------------------------------------------------
    [33.032] | RAM Memory Test
    [33.034] +-------------------------------------------------------------------------------
    [33.041] 
    [33.041] TESTFIXTURE:RAM Memory Test
    [33.043] 
    [33.044] memtester version 4.3.0_cl (64-bit)
    [33.047] Copyright (C) 2001-2012 Charles Cazabon.
    [33.050] Licensed under the GNU General Public License version 2 (only).
    [33.056] 
    [33.056] pagesize is 4096
    [33.058] pagesizemask is 0xfffffffffffff000
    [33.061] using testmask 0x80000
    [33.063] want 1040MB (1090519040 bytes)
    [33.066] got  1040MB (1090519040 bytes), trying mlock ...locked.
    [33.620] Loop 1/1:
    [33.620]   Stuck Address       :            setting   0testing   0setting   1testing   1[   40.421063] rcu: INFO: rcu_preempt self-detected stall on CPU
    [59.393] [   40.426832] rcu: 	0-....: (6067 ticks this GP) idle=a8f4/1/0x4000000000000000 softirq=8105/8107 fqs=1859
    [59.403] [   40.436297] rcu: 	(t=5250 jiffies g=3169 q=1327 ncpus=2)
    [59.408] [   40.441603] CPU: 0 PID: 323 Comm: memtester Tainted: G           O       6.6.32-g-g29c357e56d90 #1
    [59.417] [   40.450543] Hardware name: Critical Link MitySOM-AM62x (DT)
    [59.422] [   40.456106] pstate: 20000005 (nzCv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
    [59.429] [   40.463056] pc : _raw_spin_unlock_irqrestore+0x10/0x58
    [59.434] [   40.468197] lr : vblank_disable_fn+0x8c/0xb0 [drm]
    [59.440] [   40.473342] sp : ffff800080003dd0
    [59.443] [   40.476645] x29: ffff800080003dd0 x28: ffff800079bb73f8 x27: ffff800080003e80
    [59.450] [   40.483774] x26: ffff800081478008 x25: 0000000000000000 x24: ffff8000818499e0
    [59.457] [   40.490898] x23: 0000000000000000 x22: ffff000006856e80 x21: ffff0000068e5800
    [59.464] [   40.498021] x20: ffff0000068e594c x19: 0000000000000000 x18: 0000000000000000
    [59.471] [   40.505145] x17: ffff7ffff6707000 x16: ffff800080000000 x15: 0000000000000000
    [59.479] [   40.512270] x14: 0000000000000000 x13: 0000000000000000 x12: 0000000000000000
    [59.486] [   40.519394] x11: 0009ce10d3f1f89a x10: 0000000000000001 x9 : ffff800079bb7484
    [59.493] [   40.526518] x8 : 0000000000000091 x7 : 000000000000002b x6 : 00000001a0f7a668
    [59.500] [   40.533641] x5 : 03ffffffffffffff x4 : ffff0000007439c0 x3 : 0000000000000000
    [59.518] [   40.540764] x2 : 0000000000000001 x1 : 0000000000000000 x0 : ffff0000068e594c
    [59.518] [   40.547888] Call trace:
    [59.518] [   40.550329]  _raw_spin_unlock_irqrestore+0x10/0x58
    [59.521] [   40.555115]  call_timer_fn+0x3c/0x1c8
    [59.525] [   40.558776]  __run_timers+0x264/0x338
    [59.529] [   40.562430]  run_timer_softirq+0x28/0x50
    [59.533] [   40.566345]  __do_softirq+0x120/0x394
    [59.536] [   40.570006]  ____do_softirq+0x18/0x30
    [59.540] [   40.573661]  call_on_irq_stack+0x24/0x58
    [59.544] [   40.577574]  do_softirq_own_stack+0x24/0x38
    [59.548] [   40.581748]  irq_exit_rcu+0xc0/0xe8
    [59.551] [   40.585231]  el0_interrupt+0x54/0xd8
    [59.555] [   40.588800]  __el0_irq_handler_common+0x18/0x28
    [59.560] [   40.593321]  el0t_64_irq_handler+0x10/0x20
    [59.564] [   40.597407]  el0t_64_irq+0x190/0x198
    [59.567] 
    [78.046] 
    [78.046] [TIMEOUT]
    [78.046]  sleep 3;  reboot\r
    ARDUINO POW OFF\r
    ^C sleep 3;  reboot
    [78.294] ARDUINO POW OFF
    [78.294] ARDUINO RESP: Turned off power to SOM
    

    Connection-6252-TX-XXD-RI-23026345-2024-08-29-02-22-27.log
    ARDUINO INIT\r
    ARDUINO INIT
    [0.120] ARDUINO RESP: Initialized output pins
    ARDUINO POW OFF\r
    [0.244] 
    [0.244] ARDUINO POW OFF
    [0.248] ARDUINO RESP: Turned off power to SOM
    ARDUINO POW ON\r
    [1.382] 
    [1.382] ARDUINO POW ON
    [1.382] ARDUINO RESP: Turned on power to SOM (VSEL = 3V3)
    [1.383] 
    [1.383] 
    [1.942] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [1.948] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [1.991] Configuring DDR4 for 2GB
    [2.017] SPL initial stack usage: 13392 bytes
    [2.036] Trying to boot from MMC2
    [2.057] Authentication passed
    [2.152] Authentication passed
    [2.158] Authentication passed
    [2.162] Authentication passed
    [2.197] Authentication passed
    [2.203] Starting ATF on ARM64 core...
    [2.208] 
    [2.208] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [2.215] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [2.219] 
    [2.608] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [2.614] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [2.712] SPL initial stack usage: 1904 bytes
    [2.753] Trying to boot from MMC2
    [2.756] Authentication passed
    [2.883] Authentication passed
    [2.892] 
    [3.675] 
    [3.675] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [3.681] 
    [3.681] SoC:   AM62X SR1.0 HS-FS
    [3.683] Model: Critical Link MitySOM-AM62x
    [3.685] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [3.811] DRAM:  2 GiB
    [3.898] Core:  101 devices, 31 uclasses, devicetree: separate
    [3.957] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [3.973] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [4.044] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [4.090] *** Warning - No block device, using default environment
    [4.094] 
    [4.094] In:    serial@2800000
    [4.103] Out:   serial@2800000
    [4.108] Err:   serial@2800000
    [4.108] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [4.164] Hit any key to stop autoboot\r
    :  2  0 
    [4.228] =>md.l 0x43000030 1\r
    md.l 0x43000030 1
    [4.460] 43000030: 00000243                             C...
    ARDUINO MEAS 1V8\r
    ARDUINO MEAS 1V8
    [4.691] ARDUINO RESP: 1762.46 mV
    Test: 1700 <= 1762.46 <= 1900: OK
    [4.691] ARDUINO READ RESETSTAT\r
    ARDUINO READ RESETSTAT
    [4.927] ARDUINO RESP: 0
    ARDUINO READ POR_OUT\r
    ARDUINO READ POR_OUT
    [5.157] ARDUINO RESP: 0
    ARDUINO READ SOM_PRESENT\r
    ARDUINO READ SOM_PRESENT
    [5.456] ARDUINO RESP: 1
    i2c dev 0\r
    [5.518] 
    [5.518] i2c dev 0
    [5.518] Setting bus to 0
    [5.518] =>i2c mw 0x30 0x33 0xff\r
     i2c mw 0x30 0x33 0xff
    [5.642] =>i2c md 0x30 0x33 1\r
    i2c md 0x30 0x33 1
    [5.874] 0033: 04    .
    [5.874] =>ARDUINO WRITE PMIC_PB 1\r
     ARDUINO WRITE PMIC_PB 1
    [5.999] ARDUINO RESP:i2c md 0x30 0x33 1\r
    i2c md 0x30 0x33 1
    [6.434] 0033: 01    .
    ARDUINO WRITE PMIC_PB 0\r
    [6.558] => ARDUINO WRITE PMIC_PB 0
    [6.558] ARDUINO RESP:i2c mw 0x30 0x33 0xff\r
     0
    [6.682] 
    [6.682] i2c mw 0x30 0x33 0xff
    [6.682] =>i2c mw 0x30 0x1e 0x7\r
     i2c mw 0x30 0x1e 0x7
    [6.808] =>ARDUINO READ PMIC_GPIOn\r
    ARDUINO READ PMIC_GPIOn
    [7.042] ARDUINO RESP: 0
    i2c mw 0x30 0x1e 0x6\r
    [7.166] 
    [7.166] i2c mw 0x30 0x1e 0x6
    [7.166] =>ARDUINO READ PMIC_GPIOn\r
    ARDUINO READ PMIC_GPIOn
    [7.397] ARDUINO RESP: 1
    ARDUINO PULSE RESET_REQ 1\r
    [7.583] 
    [7.583] ARDUINO PULSE RESET_REQ 1
    [7.623] ARDUINO RESP: Done
    [7.624] 
    [7.624] 
    [8.209] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [8.215] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [8.258] Configuring DDR4 for 2GB
    [8.284] SPL initial stack usage: 13392 bytes
    [8.304] Trying to boot from MMC2
    [8.324] Authentication passed
    [8.418] Authentication passed
    [8.425] Authentication passed
    [8.429] Authentication passed
    [8.464] Authentication passed
    [8.471] Starting ATF on ARM64 core...
    [8.475] 
    [8.475] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [8.481] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [8.485] 
    [8.875] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [8.881] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [8.979] SPL initial stack usage: 1904 bytes
    [9.020] Trying to boot from MMC2
    [9.022] Authentication passed
    [9.147] Authentication passed
    [9.155] 
    [9.940] 
    [9.940] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [9.946] 
    [9.946] SoC:   AM62X SR1.0 HS-FS
    [9.947] Model: Critical Link MitySOM-AM62x
    [9.950] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [10.076] DRAM:  2 GiB
    [10.163] Core:  101 devices, 31 uclasses, devicetree: separate
    [10.222] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [10.237] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [10.309] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [10.354] *** Warning - No block device, using default environment
    [10.360] 
    [10.360] In:    serial@2800000
    [10.368] Out:   serial@2800000
    [10.370] Err:   serial@2800000
    [10.372] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [10.428] Hit any key to stop autoboot\r
    :  2  0 
    [10.491] =>ARDUINO PULSE MCU_RESET 1\r
     ARDUINO PULSE MCU_RESET 1
    [10.717] ARDUINO RESP: Done
    [10.718] 
    [10.718] 
    [11.303] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [11.309] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [11.353] Configuring DDR4 for 2GB
    [11.379] SPL initial stack usage: 13392 bytes
    [11.397] Trying to boot from MMC2
    [11.418] Authentication passed
    [11.513] Authentication passed
    [11.519] Authentication passed
    [11.524] Authentication passed
    [11.559] Authentication passed
    [11.565] Starting ATF on ARM64 core...
    [11.569] 
    [11.569] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [11.576] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [11.580] 
    [11.970] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [11.975] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [12.073] SPL initial stack usage: 1904 bytes
    [12.115] Trying to boot from MMC2
    [12.118] Authentication passed
    [12.239] Authentication passed
    [12.248] 
    [13.033] 
    [13.033] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [13.038] 
    [13.038] SoC:   AM62X SR1.0 HS-FS
    [13.040] Model: Critical Link MitySOM-AM62x
    [13.045] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [13.169] DRAM:  2 GiB
    [13.255] Core:  101 devices, 31 uclasses, devicetree: separate
    [13.314] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [13.331] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [13.403] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [13.451] *** Warning - No block device, using default environment
    [13.452] 
    [13.452] In:    serial@2800000
    [13.460] Out:   serial@2800000
    [13.462] Err:   serial@2800000
    [13.464] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [13.520] Hit any key to stop autoboot\r
    :  2  0 
    [13.588] =>i2c dev 1; i2c mw 0x38 8 0xbf; i2c mw 0x39 8 0xbf\r
     i2c dev 1; i2c mw 0x38 8 0xbf; i2c mw 0x39 8 0xbf
    [13.898] Setting bus to 1
    [13.898] =>if test $board_name = mitysom-62ax; then setenv platform am62a7; fi\r
     if test $board_name = mitysom-62ax; then setenv platform am62a7; fi
    [14.271] =>if test $board_name = mitysom-62px; then setenv platform am62p5; fi\r
     if test $board_name = mitysom-62px; then setenv platform am62p5; fi
    [14.647] =>if test $board_name = mitysom-62x; then setenv platform am62x; fi\r
     if test $board_name = mitysom-62x; then setenv platform am62x; fi
    [15.019] =>print platform\r
     print platform
    [15.142] platform=am62x
    [15.142] =>setenv boot_fit 0; setenv default_device_tree ti/k3-${platform}-mitysom-testfixture.dtb; setenv fdtfile ti/k3-${platform}-mitysom-testfixture.dtb; setenv name_overlays ''\r
     setenv boot_fit 0; setenv default_device_tree ti/k3-${platform}-mitysom-testfixture.dtb; setenv fdtfile ti/k3-${platform}-mitysom-testfixture.dtb; setenv name_overlays ''
    [16.079] =>setenv optargs audit=0\r
     setenv optargs audit=0
    [16.203] =>mw.b ${loadaddr} 0 1; fatwrite mmc 1:1 ${loadaddr} .psdk_setup 1;\r
     mw.b ${loadaddr} 0 1; fatwrite mmc 1:1 ${loadaddr} .psdk_setup 1;
    [16.576] 1 bytes written in 4 ms (0 Bytes/s)
    [16.576] =>boot\r
     boot
    [16.639] switch to partitions #0, OK
    [16.639] mmc1 is current device
    [16.640] SD/MMC found on device 1
    [16.700] 574 bytes read in 29 ms (18.6 KiB/s)
    [16.733] Loaded env from uEnv.txt
    [16.735] Importing environment from mmc1 ...
    [16.738] 27734528 bytes read in 1115 ms (23.7 MiB/s)
    [17.862] 64042 bytes read in 34 ms (1.8 MiB/s)
    [17.904] Working FDT set to 88000000
    [17.906] ## Flattened Device Tree blob at 88000000
    [17.910]    Booting using the fdt blob at 0x88000000
    [17.915] Working FDT set to 88000000
    [17.916]    Loading Device Tree to 000000008feed000, end 000000008fffffff ... OK
    [17.949] Working FDT set to 8feed000
    [17.952] 
    [17.977] Starting kernel ...
    [17.979] 
    [17.979] [    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
    [18.022] [    0.000000] Linux version 6.6.32-g-g29c357e56d90 (oe-user@oe-host) (aarch64-oe-linux-gcc (GCC) 13.3.0, GNU ld (GNU Binutils) 2.42.0.20240716) #1 SMP PREEMPT Fri Aug 23 16:11:00 UTC 2024
    [18.039] [    0.000000] KASLR disabled due to lack of seed
    [18.043] [    0.000000] Machine model: Critical Link MitySOM-AM62x
    [18.049] [    0.000000] earlycon: ns16550a0 at MMIO32 0x0000000002800000 (options '')
    [18.056] [    0.000000] printk: bootconsole [ns16550a0] enabled
    [18.060] [    0.000000] efi: UEFI not found.
    [18.064] [    0.000000] Reserved memory: created CMA memory pool at 0x00000000f8000000, size 128 MiB
    [18.073] [    0.000000] OF: reserved mem: initialized node linux,cma, compatible id shared-dma-pool
    [18.081] [    0.000000] OF: reserved mem: 0x00000000f8000000..0x00000000ffffffff (131072 KiB) map reusable linux,cma
    [18.091] [    0.000000] OF: reserved mem: 0x0000000080000000..0x000000008007ffff (512 KiB) nomap non-reusable tfa@80000000
    [18.101] [    0.000000] OF: reserved mem: 0x000000009c700000..0x000000009c7fffff (1024 KiB) map non-reusable ramoops@9c700000
    [18.111] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009c800000, size 3 MiB
    [18.120] [    0.000000] OF: reserved mem: initialized node ipc-memories@9c800000, compatible id shared-dma-pool
    [18.137] [    0.000000] OF: reserved mem: 0x000000009c800000..0x000000009cafffff (3072 KiB) nomap non-reusable ipc-memories@9c800000
    [18.140] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009cb00000, size 1 MiB
    [18.148] [    0.000000] OF: reserved mem: initialized node m4f-dma-memory@9cb00000, compatible id shared-dma-pool
    [18.157] [    0.000000] OF: reserved mem: 0x000000009cb00000..0x000000009cbfffff (1024 KiB) nomap non-reusable m4f-dma-memory@9cb00000
    [18.169] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009cc00000, size 14 MiB
    [18.177] [    0.000000] OF: reserved mem: initialized node m4f-memory@9cc00000, compatible id shared-dma-pool
    [18.186] [    0.000000] OF: reserved mem: 0x000000009cc00000..0x000000009d9fffff (14336 KiB) nomap non-reusable m4f-memory@9cc00000
    [18.197] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009da00000, size 1 MiB
    [18.205] [    0.000000] OF: reserved mem: initialized node r5f-dma-memory@9da00000, compatible id shared-dma-pool
    [18.215] [    0.000000] OF: reserved mem: 0x000000009da00000..0x000000009dafffff (1024 KiB) nomap non-reusable r5f-dma-memory@9da00000
    [18.226] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009db00000, size 12 MiB
    [18.234] [    0.000000] OF: reserved mem: initialized node r5f-memory@9db00000, compatible id shared-dma-pool
    [18.243] [    0.000000] OF: reserved mem: 0x000000009db00000..0x000000009e6fffff (12288 KiB) nomap non-reusable r5f-memory@9db00000
    [18.254] [    0.000000] OF: reserved mem: 0x000000009e800000..0x000000009fffffff (24576 KiB) nomap non-reusable optee@9e800000
    [18.265] [    0.000000] Zone ranges:
    [18.312] [    0.000000]   DMA      [mem 0x0000000080000000-0x00000000ffffffff]
    [18.318] [    0.000000]   DMA32    empty
    [18.334] [    0.000000]   Normal   empty
    [18.334] [    0.000000] Movable zone start for each node
    [18.334] [    0.000000] Early memory node ranges
    [18.334] [    0.000000]   node   0: [mem 0x0000000080000000-0x000000008007ffff]
    [18.338] [    0.000000]   node   0: [mem 0x0000000080080000-0x000000009c7fffff]
    [18.344] [    0.000000]   node   0: [mem 0x000000009c800000-0x000000009e6fffff]
    [18.351] [    0.000000]   node   0: [mem 0x000000009e700000-0x000000009e7fffff]
    [18.357] [    0.000000]   node   0: [mem 0x000000009e800000-0x000000009fffffff]
    [18.364] [    0.000000]   node   0: [mem 0x00000000a0000000-0x00000000ffffffff]
    [18.370] [    0.000000] Initmem setup node 0 [mem 0x0000000080000000-0x00000000ffffffff]
    [18.377] [    0.000000] psci: probing for conduit method from DT.
    [18.398] [    0.000000] psci: PSCIv1.1 detected in firmware.
    [18.403] [    0.000000] psci: Using standard PSCI v0.2 function IDs
    [18.408] [    0.000000] psci: Trusted OS migration not required
    [18.413] [    0.000000] psci: SMC Calling Convention v1.4
    [18.418] [    0.000000] percpu: Embedded 29 pages/cpu s81128 r8192 d29464 u118784
    [18.425] [    0.000000] Detected VIPT I-cache on CPU0
    [18.429] [    0.000000] CPU features: detected: GIC system register CPU interface
    [18.436] [    0.000000] CPU features: detected: ARM erratum 845719
    [18.441] [    0.000000] alternatives: applying boot alternatives
    [18.446] [    0.000000] Kernel command line: console=ttyS2,115200n8 audit=0 earlycon=ns16550a,mmio32,0x02800000 mtdparts=spi-nand0:512k(ospi_nand.tiboot3),2m(ospi_nand.tispl),4m(ospi_nand.u-boot),256k(ospi_nand.env),256k(ospi_nand.env.backup),98048k@32m(ospi_nand.rootfs),256k@130816k(ospi_nand.phypattern) root=PARTUUID=076c4a2a-02 rw rootfstype=ext4 rootwait
    [18.478] [    0.000000] audit: disabled (until reboot)
    [18.482] [    0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
    [18.491] [    0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
    [18.499] [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 516096
    [18.506] [    0.000000] mem auto-init: stack:all(zero), heap alloc:off, heap free:off
    [18.513] [    0.000000] software IO TLB: area num 2.
    [18.517] [    0.000000] software IO TLB: mapped [mem 0x00000000f1800000-0x00000000f5800000] (64MB)
    [18.590] [    0.000000] Memory: 1773904K/2097152K available (14720K kernel code, 2252K rwdata, 4936K rodata, 5056K init, 453K bss, 192176K reserved, 131072K cma-reserved)
    [18.652] [    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=2, Nodes=1
    [18.659] [    0.000000] ftrace: allocating 47951 entries in 188 pages
    [18.665] [    0.000000] ftrace: allocated 188 pages with 5 groups
    [18.774] [    0.000000] trace event string verifier disabled
    [18.779] [    0.000000] rcu: Preemptible hierarchical RCU implementation.
    [18.785] [    0.000000] rcu: 	RCU event tracing is enabled.
    [18.789] [    0.000000] rcu: 	RCU restricting CPUs from NR_CPUS=256 to nr_cpu_ids=2.
    [18.796] [    0.000000] 	Trampoline variant of Tasks RCU enabled.
    [18.801] [    0.000000] 	Rude variant of Tasks RCU enabled.
    [18.806] [    0.000000] 	Tracing variant of Tasks RCU enabled.
    [18.811] [    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
    [18.819] [    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
    [18.826] [    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
    [18.839] [    0.000000] GICv3: GIC: Using split EOI/Deactivate mode
    [18.845] [    0.000000] GICv3: 256 SPIs implemented
    [18.849] [    0.000000] GICv3: 0 Extended SPIs implemented
    [18.854] [    0.000000] Root IRQ handler: gic_handle_irq
    [18.858] [    0.000000] GICv3: GICv3 features: 16 PPIs
    [18.862] [    0.000000] GICv3: CPU0: found redistributor 0 region 0:0x0000000001880000
    [18.870] [    0.000000] ITS [mem 0x01820000-0x0182ffff]
    [18.874] [    0.000000] GIC: enabling workaround for ITS: Socionext Synquacer pre-ITS
    [18.881] [    0.000000] ITS@0x0000000001820000: Devices Table too large, reduce ids 20->19
    [18.889] [    0.000000] ITS@0x0000000001820000: allocated 524288 Devices @80c00000 (flat, esz 8, psz 64K, shr 0)
    [18.900] [    0.000000] ITS: using cache flushing for cmd queue
    [18.905] [    0.000000] GICv3: using LPI property table @0x0000000080590000
    [18.911] [    0.000000] GIC: using cache flushing for LPI property table
    [18.917] [    0.000000] GICv3: CPU0: using allocated LPI pending table @0x00000000805a0000
    [18.925] [    0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention.
    [18.932] [    0.000000] arch_timer: cp15 timer(s) running at 200.00MHz (phys).
    [18.950] [    0.000000] clocksource: arch_sys_counter: mask: 0x3ffffffffffffff max_cycles: 0x2e2049d3e8, max_idle_ns: 440795210634 ns
    [18.950] [    0.000000] sched_clock: 58 bits at 200MHz, resolution 5ns, wraps every 4398046511102ns
    [18.958] [    0.008661] Console: colour dummy device 80x25
    [18.963] [    0.013270] Calibrating delay loop (skipped), value calculated using timer frequency.. 400.00 BogoMIPS (lpj=800000)
    [18.974] [    0.023959] pid_max: default: 32768 minimum: 301
    [18.978] [    0.028774] LSM: initializing lsm=capability,integrity
    [18.984] [    0.034165] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
    [18.991] [    0.041754] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
    [18.999] [    0.051959] RCU Tasks: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.009] [    0.059308] RCU Tasks Rude: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.017] [    0.067051] RCU Tasks Trace: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.025] [    0.075052] rcu: Hierarchical SRCU implementation.
    [19.030] [    0.079960] rcu: 	Max phase no-delay instances is 1000.
    [19.035] [    0.085626] Platform MSI: msi-controller@1820000 domain created
    [19.041] [    0.091994] PCI/MSI: /bus@f0000/interrupt-controller@1800000/msi-controller@1820000 domain created
    [19.051] [    0.101491] EFI services will not be available.
    [19.056] [    0.106391] smp: Bringing up secondary CPUs ...
    [19.061] [    0.111841] Detected VIPT I-cache on CPU1
    [19.066] [    0.111950] GICv3: CPU1: found redistributor 1 region 0:0x00000000018a0000
    [19.073] [    0.111971] GICv3: CPU1: using allocated LPI pending table @0x00000000805b0000
    [19.080] [    0.112038] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
    [19.087] [    0.112191] smp: Brought up 1 node, 2 CPUs
    [19.091] [    0.141604] SMP: Total of 2 processors activated.
    [19.096] [    0.146423] CPU features: detected: 32-bit EL0 Support
    [19.101] [    0.151703] CPU features: detected: CRC32 instructions
    [19.107] [    0.157038] CPU: All CPU(s) started at EL2
    [19.111] [    0.161242] alternatives: applying system-wide alternatives
    [19.117] [    0.169076] devtmpfs: initialized
    [19.122] [    0.182882] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
    [19.152] [    0.192904] futex hash table entries: 512 (order: 3, 32768 bytes, linear)
    [19.152] [    0.204649] pinctrl core: initialized pinctrl subsystem
    [19.160] [    0.210707] DMI not present or invalid.
    [19.164] [    0.215445] NET: Registered PF_NETLINK/PF_ROUTE protocol family
    [19.171] [    0.222603] DMA: preallocated 256 KiB GFP_KERNEL pool for atomic allocations
    [19.180] [    0.229963] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations
    [19.188] [    0.238020] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
    [19.196] [    0.246773] thermal_sys: Registered thermal governor 'step_wise'
    [19.203] [    0.246781] thermal_sys: Registered thermal governor 'power_allocator'
    [19.209] [    0.252976] cpuidle: using governor menu
    [19.213] [    0.263846] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
    [19.221] [    0.270859] ASID allocator initialised with 65536 entries
    [19.226] [    0.283475] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu-system-default-pins
    [19.245] [    0.295630] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/jtag-default-pins
    [19.257] [    0.307210] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu-gpio0-loopback-default-pins
    [19.270] [    0.320031] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu_system_pins_default
    [19.282] [    0.336358] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/main-system-default-pins
    [19.297] [    0.347157] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/gpio1-loopback-default-pins
    [19.308] [    0.358200] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/gpio0-loopback-default-pins
    [19.319] [    0.372478] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@1
    [19.332] [    0.382397] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@0
    [19.342] [    0.395890] platform display: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@1
    [19.355] [    0.405384] platform display: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@0
    [19.365] [    0.415234] Modules: 25872 pages in range for non-PLT usage
    [19.371] [    0.415241] Modules: 517392 pages in range for PLT usage
    [19.377] [    0.422039] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages
    [19.384] [    0.434471] HugeTLB: 0 KiB vmemmap can be freed for a 1.00 GiB page
    [19.391] [    0.440887] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages
    [19.398] [    0.447830] HugeTLB: 0 KiB vmemmap can be freed for a 32.0 MiB page
    [19.404] [    0.454241] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages
    [19.411] [    0.461183] HugeTLB: 0 KiB vmemmap can be freed for a 2.00 MiB page
    [19.417] [    0.467594] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages
    [19.424] [    0.474536] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page
    [19.431] [    0.482973] k3-chipinfo 43000014.chipid: Family:AM62X rev:SR1.0 JTAGID[0x0bb7e02f] Detected
    [19.441] [    0.493810] iommu: Default domain type: Translated
    [19.448] [    0.498778] iommu: DMA domain TLB invalidation policy: strict mode
    [19.455] [    0.505447] SCSI subsystem initialized
    [19.459] [    0.509770] usbcore: registered new interface driver usbfs
    [19.465] [    0.515457] usbcore: registered new interface driver hub
    [19.471] [    0.520938] usbcore: registered new device driver usb
    [19.476] [    0.526702] pps_core: LinuxPPS API ver. 1 registered
    [19.481] [    0.531798] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
    [19.491] [    0.541156] PTP clock support registered
    [19.495] [    0.545369] EDAC MC: Ver: 3.0.0
    [19.498] [    0.549310] scmi_core: SCMI protocol bus registered
    [19.504] [    0.554648] FPGA manager framework
    [19.508] [    0.558229] Advanced Linux Sound Architecture Driver Initialized.
    [19.514] [    0.565912] clocksource: Switched to clocksource arch_sys_counter
    [19.522] [    0.572642] VFS: Disk quotas dquot_6.6.0
    [19.526] [    0.581940] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
    [19.539] [    0.596220] NET: Registered PF_INET protocol family
    [19.551] [    0.601560] IP idents hash table entries: 32768 (order: 6, 262144 bytes, linear)
    [19.565] [    0.611160] tcp_listen_portaddr_hash hash table entries: 1024 (order: 2, 16384 bytes, linear)
    [19.570] [    0.620039] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear)
    [19.578] [    0.627988] TCP established hash table entries: 16384 (order: 5, 131072 bytes, linear)
    [19.586] [    0.636227] TCP bind hash table entries: 16384 (order: 7, 524288 bytes, linear)
    [19.593] [    0.644270] TCP: Hash tables configured (established 16384 bind 16384)
    [19.601] [    0.651179] UDP hash table entries: 1024 (order: 3, 32768 bytes, linear)
    [19.608] [    0.658103] UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes, linear)
    [19.615] [    0.665642] NET: Registered PF_UNIX/PF_LOCAL protocol family
    [19.621] [    0.672094] RPC: Registered named UNIX socket transport module.
    [19.628] [    0.678176] RPC: Registered udp transport module.
    [19.633] [    0.682988] RPC: Registered tcp transport module.
    [19.637] [    0.687798] RPC: Registered tcp-with-tls transport module.
    [19.643] [    0.693408] RPC: Registered tcp NFSv4.1 backchannel transport module.
    [19.650] [    0.700029] NET: Registered PF_XDP protocol family
    [19.655] [    0.704953] PCI: CLS 0 bytes, default 64
    [19.659] [    0.715238] Initialise system trusted keyrings
    [19.670] [    0.720122] workingset: timestamp_bits=46 max_order=19 bucket_order=0
    [19.676] [    0.727168] squashfs: version 4.0 (2009/01/31) Phillip Lougher
    [19.683] [    0.733507] NFS: Registering the id_resolver key type
    [19.688] [    0.738746] Key type id_resolver registered
    [19.693] [    0.743029] Key type id_legacy registered
    [19.697] [    0.747154] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
    [19.704] [    0.754013] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering...
    [19.711] [    0.802201] Key type asymmetric registered
    [19.756] [    0.806397] Asymmetric key parser 'x509' registered
    [19.761] [    0.811465] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245)
    [19.769] [    0.819239] io scheduler mq-deadline registered
    [19.774] [    0.823917] io scheduler kyber registered
    [19.778] [    0.828063] io scheduler bfq registered
    [19.782] [    0.835671] pinctrl-single 4084000.pinctrl: 34 pins, size 136
    [19.791] [    0.842554] pinctrl-single f4000.pinctrl: 171 pins, size 684
    [19.798] [    0.857394] Serial: 8250/16550 driver, 12 ports, IRQ sharing enabled
    [19.814] [    0.876187] loop: module loaded
    [19.829] [    0.880693] megasas: 07.725.01.00-rc1
    [19.834] [    0.889237] tun: Universal TUN/TAP device driver, 1.6
    [19.844] [    0.895329] thunder_xcv, ver 1.0
    [19.848] [    0.898701] thunder_bgx, ver 1.0
    [19.852] [    0.902034] nicpf, ver 1.0
    [19.855] [    0.904977] e1000: Intel(R) PRO/1000 Network Driver
    [19.860] [    0.909971] e1000: Copyright (c) 1999-2006 Intel Corporation.
    [19.866] [    0.915880] e1000e: Intel(R) PRO/1000 Network Driver
    [19.871] [    0.920959] e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
    [19.877] [    0.927040] igb: Intel(R) Gigabit Ethernet Network Driver
    [19.882] [    0.932565] igb: Copyright (c) 2007-2014 Intel Corporation.
    [19.888] [    0.938290] igbvf: Intel(R) Gigabit Virtual Function Network Driver
    [19.894] [    0.944711] igbvf: Copyright (c) 2009 - 2012 Intel Corporation.
    [19.900] [    0.950909] sky2: driver version 1.30
    [19.904] [    0.955775] VFIO - User Level meta-driver version: 0.3
    [19.911] [    0.961698] usbcore: registered new interface driver usb-storage
    [19.918] [    0.968586] i2c_dev: i2c /dev entries driver
    [19.923] [    0.974974] sdhci: Secure Digital Host Controller Interface driver
    [19.931] [    0.981331] sdhci: Copyright(c) Pierre Ossman
    [19.935] [    0.986060] sdhci-pltfm: SDHCI platform and OF driver helper
    [19.942] [    0.992654] ledtrig-cpu: registered to indicate activity on CPUs
    [19.954] [    0.999175] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping ....
    [19.955] [    1.006290] usbcore: registered new interface driver usbhid
    [19.962] [    1.012006] usbhid: USB HID core driver
    [19.966] [    1.016333] omap-mailbox 29000000.mailbox: omap mailbox rev 0x66fc9100
    [19.973] [    1.024708] hw perfevents: enabled with armv8_cortex_a53 PMU driver, 7 counters available
    [19.983] [    1.033781] optee: probing for conduit method.
    [19.988] [    1.038368] optee: revision 4.2 (12d7c4ee)
    [19.993] [    1.038741] optee: dynamic shared memory is enabled
    [19.998] [    1.048431] optee: initialized driver
    [20.002] [    1.054350] Initializing XFRM netlink socket
    [20.008] [    1.058797] NET: Registered PF_PACKET protocol family
    [20.014] [    1.064066] Key type dns_resolver registered
    [20.018] [    1.078331] registered taskstats version 1
    [20.032] [    1.083534] Loading compiled-in X.509 certificates
    [20.038] [    1.102139] ti-sci 44043000.system-controller: ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [20.062] [    1.158226] ti-sci-clk 44043000.system-controller:clock-controller: recalc-rate failed for dev=81, clk=20, ret=-19
    [20.119] [    1.191000] i2c 0-0030: Fixed dependency cycle(s) with /bus@f0000/i2c@20000000/pmic@30/regulators/buck2
    [20.154] [    1.201064] omap_i2c 20000000.i2c: bus 0 rev0.12 at 400 kHz
    [20.156] [    1.208484] pca953x 1-0020: supply vcc not found, using dummy regulator
    [20.165] [    1.215547] pca953x 1-0020: using no AI
    [20.169] [    1.243224] i2c 1-0010: Fixed dependency cycle(s) with /bus@f0000/ticsi2rx@30102000/csi-bridge@30101000
    [20.203] [    1.253215] omap_i2c 20010000.i2c: bus 1 rev0.12 at 100 kHz
    [20.209] [    1.259253] ti-sci-intr 4210000.interrupt-controller: Interrupt Router 5 domain created
    [20.217] [    1.267668] ti-sci-intr bus@f0000:interrupt-controller@a00000: Interrupt Router 3 domain created
    [20.226] [    1.277021] ti-sci-inta 48000000.interrupt-controller: Interrupt Aggregator domain 28 created
    [20.235] [    1.287700] ti-udma 485c0100.dma-controller: Number of rings: 82
    [20.244] [    1.297085] ti-udma 485c0100.dma-controller: Channels: 48 (bchan: 18, tchan: 12, rchan: 18)
    [20.255] [    1.308888] ti-udma 485c0000.dma-controller: Number of rings: 150
    [20.265] [    1.321108] ti-udma 485c0000.dma-controller: Channels: 35 (tchan: 20, rchan: 15)
    [20.278] [    1.332110] printk: console [ttyS2] disabled
    [20.286] [    1.336991] 2800000.serial: ttyS2 at MMIO 0x2800000 (irq = 238, base_baud = 3000000) is a 8250
    [20.296] [    1.346034] printk: console [ttyS2] enabled
    [20.300] [    1.346034] printk: console [ttyS2] enabled
    [20.304] [    1.354532] printk: bootconsole [ns16550a0] disabled
    [20.309] [    1.354532] printk: bootconsole [ns16550a0] disabled
    [20.314] [    1.373171] spi-nor spi0.0: unrecognized JEDEC id bytes: ff ff ff ff ff ff
    [20.330] [    1.383180] mmc0: CQHCI version 5.10
    [20.336] [    1.424600] VDD_CORE: Bringing 750000uV into 850000-850000uV
    [20.380] [    1.425456] input: tps65219-pwrbutton as /devices/platform/bus@f0000/20000000.i2c/i2c-0/0-0030/tps65219-pwrbutton.2.auto/input/input0
    [20.392] [    1.430449] mmc0: SDHCI controller on fa10000.mmc [fa10000.mmc] using ADMA 64-bit
    [20.400] [    1.460038] mmc1: CQHCI version 5.10
    [20.413] [    1.460360] debugfs: Directory 'pd:186' with parent 'pm_genpd' already present!
    [20.421] [    1.471894] clk: Disabling unused clocks
    [20.426] [    1.478601] ti-sci-clk 44043000.system-controller:clock-controller: is_prepared failed for dev=81, clk=20, ret=-19
    [20.439] [    1.494055] ALSA device list:
    [20.447] [    1.497018]   No soundcards found.
    [20.450] [    1.504560] mmc1: SDHCI controller on fa00000.mmc [fa00000.mmc] using ADMA 64-bit
    [20.462] [    1.512322] Waiting for root device PARTUUID=076c4a2a-02...
    [20.468] [    1.570323] mmc1: new ultra high speed SDR104 SDHC card at address aaaa
    [20.527] [    1.577790] mmcblk1: mmc1:aaaa SA08G 7.40 GiB
    [20.532] [    1.585412]  mmcblk1: p1 p2
    [20.539] [    1.623813] EXT4-fs (mmcblk1p2): mounted filesystem dd8b7318-d11a-4d67-b3b9-a3869236fe3f r/w with ordered data mode. Quota mode: none.
    [20.598] [    1.636115] VFS: Mounted root (ext4 filesystem) on device 179:2.
    [20.598] [    1.643281] devtmpfs: mounted
    [20.598] [    1.651758] Freeing unused kernel memory: 5056K
    [20.606] [    1.656549] Run /sbin/init as init process
    [20.610] [    1.855061] systemd[1]: System time before build time, advancing clock.
    [20.811] [    1.881799] systemd[1]: Failed to find module 'autofs4'
    [20.837] [    1.911006] NET: Registered PF_INET6 protocol family
    [20.866] [    1.917164] Segment Routing with IPv6
    [20.871] [    1.920905] In-situ OAM (IOAM) with IPv6
    [20.875] [    1.958873] systemd[1]: systemd 255.4^ running in system mode (+PAM -AUDIT -SELINUX -APPARMOR +IMA -SMACK +SECCOMP -GCRYPT -GNUTLS -OPENSSL +ACL +BLKID -CURL -ELFUTILS -FIDO2 -IDN2 -IDN -IPTC +KMOD -LIBCRYPTSETUP +LIBFDISK -PCRE2 -PWQUALITY -P11KIT -QRENCODE -TPM2 -BZIP2 -LZ4 -XZ -ZLIB +ZSTD -BPF_FRAMEWORK -XKBCOMMON +UTMP +SYSVINIT default-hierarchy=unified)
    [20.940] [    1.990912] systemd[1]: Detected architecture arm64.
    [20.946] 
    [20.948] Welcome to Arago 2023.10!
    [20.951] 
    
    [20.952] [    2.012894] systemd[1]: Hostname set to <mitysom-am62x>.
    [20.968] [    2.428066] systemd[1]: /etc/systemd/system/sync-clocks.service:11: Standard output type syslog is obsolete, automatically updating to journal. Please update your unit file, and consider removing the setting altogether.
    [21.399] [    2.504255] systemd[1]: Queued start job for default target Multi-User System.
    [21.461] [    2.542623] systemd[1]: Created slice Slice /system/getty.
    [21.499] [  OK  ] Created slice Slice /system/getty.
    
    [21.505] [    2.567928] systemd[1]: Created slice Slice /system/modprobe.
    [21.523] [  OK  ] Created slice Slice /system/modprobe.
    
    [21.530] [    2.591959] systemd[1]: Created slice Slice /system/serial-getty.
    [21.549] [  OK  ] Created slice Slice /system/serial-getty.
    
    [21.555] [    2.615389] systemd[1]: Created slice User and Session Slice.
    [21.571] [  OK  ] Created slice User and Session Slice.
    
    [21.577] [    2.638551] systemd[1]: Started Dispatch Password Requests to Console Directory Watch.
    [21.597] [  OK  ] Started Dispatch Password Requests to Console Directory Watch.
    
    [21.605] [    2.662346] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
    [21.620] [  OK  ] Started Forward Password Requests to Wall Directory Watch.
    
    [21.628] [    2.686110] systemd[1]: Expecting device /dev/ttyS2...
    [21.641]          Expecting device /dev/ttyS2...
    
    [21.646] [    2.702171] systemd[1]: Reached target Path Units.
    [21.657] [  OK  ] Reached target Path Units.
    
    [21.662] [    2.718068] systemd[1]: Reached target Remote File Systems.
    [21.673] [  OK  ] Reached target Remote File Systems.
    
    [21.680] [    2.738033] systemd[1]: Reached target Slice Units.
    [21.693] [  OK  ] Reached target Slice Units.
    
    [21.698] [    2.754054] systemd[1]: Reached target Swaps.
    [21.708] [  OK  ] Reached target Swaps.
    
    [21.713] [    2.772265] systemd[1]: Listening on Syslog Socket.
    [21.727] [  OK  ] Listening on Syslog Socket.
    
    [21.733] [    2.793667] systemd[1]: Listening on Process Core Dump Socket.
    [21.749] [  OK  ] Listening on Process Core Dump Socket.
    
    [21.756] [    2.814583] systemd[1]: Listening on initctl Compatibility Named Pipe.
    [21.771] [  OK  ] Listening on initctl Compatibility Named Pipe.
    
    [21.779] [    2.844814] systemd[1]: Journal Audit Socket was skipped because of an unmet condition check (ConditionSecurity=audit).
    [21.808] [    2.856708] systemd[1]: Listening on Journal Socket (/dev/log).
    [21.812] [  OK  ] Listening on Journal Socket (/dev/log).
    
    [21.819] [    2.878981] systemd[1]: Listening on Journal Socket.
    [21.834] [  OK  ] Listening on Journal Socket.
    
    [21.839] [    2.895014] systemd[1]: Listening on Network Service Netlink Socket.
    [21.851] [  OK  ] Listening on Network Service Netlink Socket.
    
    [21.858] [    2.918945] systemd[1]: Listening on udev Control Socket.
    [21.875] [  OK  ] Listening on udev Control Socket.
    
    [21.881] [    2.942643] systemd[1]: Listening on udev Kernel Socket.
    [21.898] [  OK  ] Listening on udev Kernel Socket.
    
    [21.905] [    2.962770] systemd[1]: Listening on User Database Manager Socket.
    [21.919] [  OK  ] Listening on User Database Manager Socket.
    
    [21.926] [    3.014426] systemd[1]: Mounting Huge Pages File System...
    [21.970]          Mounting Huge Pages File System...
    
    [21.975] [    3.034353] systemd[1]: Mounting POSIX Message Queue File System...
    [21.990]          Mounting POSIX Message Queue File System...
    
    [21.998] [    3.066713] systemd[1]: Mounting Kernel Debug File System...
    [22.022]          Mounting Kernel Debug File System...
    
    [22.028] [    3.098328] systemd[1]: Mounting Kernel Trace File System...
    [22.054]          Mounting Kernel Trace File System...
    
    [22.060] [    3.132576] systemd[1]: Mounting Temporary Directory /tmp...
    [22.088]          Mounting Temporary Directory /tmp...
    
    [22.094] [    3.159031] systemd[1]: Starting Create List of Static Device Nodes...
    [22.116]          Starting Create List of Static Device Nodes...
    
    [22.123] [    3.202894] systemd[1]: Starting Load Kernel Module configfs...
    [22.159]          Starting Load Kernel Module configfs...
    
    [22.169] [    3.250724] systemd[1]: Starting Load Kernel Module drm...
    [22.210]          Starting Load Kernel Module drm...
    
    [22.212] [    3.299208] systemd[1]: Starting Load Kernel Module fuse...
    [22.255]          Starting Load Kernel Module fuse...
    
    [22.260] [    3.338776] systemd[1]: Starting Journal Service...
    [22.293]          Starting Journal Service...
    
    [22.308] [    3.365758] systemd[1]: Load Kernel Modules was skipped because no trigger condition checks were met.
    [22.326] [    3.377245] fuse: init (API version 7.39)
    [22.331] [    3.391127] systemd[1]: Starting Generate network units from Kernel command line...
    [22.349]          Starting Generate network units from Kernel command line...
    
    [22.357] [    3.408964] systemd-journald[101]: Collecting audit messages is disabled.
    [22.366] [    3.444234] systemd[1]: Starting Remount Root and Kernel File Systems...
    [22.402]          Starting Remount Root and Kernel File Systems...
    
    [22.415] [    3.503254] systemd[1]: Starting Apply Kernel Variables...
    [22.458]          Starting Apply Kernel Variables...
    
    [22.475] [    3.546814] EXT4-fs (mmcblk1p2): re-mounted dd8b7318-d11a-4d67-b3b9-a3869236fe3f ro. Quota mode: none.
    [22.506] [    3.565315] systemd[1]: Starting Coldplug All udev Devices...
    [22.522]          Starting Coldplug All udev Devices...
    
    [22.527] [    3.595097] systemd[1]: Started Journal Service.
    [22.549] [  OK  ] Started Journal Service.
    
    [22.555] [  OK  ] Mounted Huge Pages File System.
    
    [22.565] [  OK  ] Mounted POSIX Message Queue File System.
    
    [22.583] [  OK  ] Mounted Kernel Debug File System.
    
    [22.600] [  OK  ] Mounted Kernel Trace File System.
    
    [22.641] [  OK  ] Mounted Temporary Directory /tmp.
    
    [22.642] [  OK  ] Finished Create List of Static Device Nodes.
    
    [22.642] [  OK  ] Finished Load Kernel Module configfs.
    
    [22.657] [  OK  ] Finished Load Kernel Module drm.
    
    [22.670] [  OK  ] Finished Load Kernel Module fuse.
    
    [22.694] [  OK  ] Finished Generate network units from Kernel command line.
    
    [22.718] [  OK  ] Finished Remount Root and Kernel File Systems.
    
    [22.735] [  OK  ] Finished Apply Kernel Variables.
    
    [22.744] [  OK  ] Reached target Preparation for Network.
    
    [22.800]          Mounting FUSE Control File System...
    
    [22.846]          Mounting Kernel Configuration File System...
    
    [22.883]          Starting Flush Journal to Persistent Storage...
    
    [22.923]          Starting Create Static Device Nodes in /dev gracefully...
    
    [22.976] \r
    [    4.034093] systemd-journald[101]: Received client request to flush runtime journal.
    [23.045] [  OK  ] Mounted FUSE Control File System.
    
    [23.045] [  OK  ] Mounted Kernel Configuration File System.
    
    [23.045] [  OK  ] Finished Flush Journal to Persistent Storage.
    
    [23.045] [  OK  ] Finished Create Static Device Nodes in /dev gracefully.
    
    [23.085]          Starting Create Static Device Nodes in /dev...
    
    [23.120] [  OK  ] Finished Coldplug All udev Devices.
    
    [23.167] [  OK  ] Finished Create Static Device Nodes in /dev.
    
    [23.193] [  OK  ] Reached target Preparation for Local File Systems.
    
    [23.209]          Mounting /var/volatile...
    
    [23.241]          Starting Rule-based Manager for Device Events and Files...
    
    [23.261] [  OK  ] Mounted /var/volatile.
    
    [23.281]          Starting Bind mount volatile /var/cache...
    
    [23.319]          Starting Bind mount volatile /var/lib...
    
    [23.365]          Starting Bind mount volatile /var/spool...
    
    [23.396]          Starting Bind mount volatile /srv...
    
    [23.438] [  OK  ] Finished Bind mount volatile /var/cache.
    
    [23.539] [  OK  ] Started Rule-based Manager for Device Events and Files.
    
    [23.558] [  OK  ] Finished Bind mount volatile /var/lib.
    
    [23.578] [  OK  ] Finished Bind mount volatile /var/spool.
    
    [23.588] [  OK  ] Finished Bind mount volatile /srv.
    
    [23.605] [  OK  ] Reached target Local File Systems.
    
    [23.645]          Starting Network Configuration...
    
    [23.686]          Starting Load/Save OS Random Seed...
    
    [23.750]          Starting Create Volatile Files and Directories...
    
    [23.780] [  OK  ] Found device /dev/ttyS2.
    
    [23.953]          Starting User Database Manager...
    
    [24.006] [  OK  ] Finished Create Volatile Files and Directories.
    
    [24.056]          Starting Network Name Resolution...
    
    [24.305]          Starting Network Time Synchronization...
    
    [24.384]          Starting Record System Boot/Shutdown in UTMP...
    
    [24.448] [  OK  ] Started User Database Manager.
    
    [24.465] [    5.694003] random: crng init done
    [24.650] [  OK  ] Finished Load/Save OS Random Seed.
    
    [24.690] [  OK  ] Finished Record System Boot/Shutdown in UTMP.
    
    [24.771] [  OK  ] Started Network Time Synchronization.
    
    [25.002] [  OK  ] Reached target System Time Set.
    
    [25.020] [    6.110363] cfg80211: Loading compiled-in X.509 certificates for regulatory database
    [25.069] [  OK  ] Started Network Name Resolution.
    
    [25.088] [  OK  ] Reached target Host and Network Name Lookups.
    
    [25.096] [    6.153153] Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
    [25.109] [  OK  ] Reached target System Initializatio[    6.162338] Loaded X.509 cert 'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600'
    [25.122] n.
    
    [25.123] [    6.175000] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
    [25.133] [    6.183739] cfg80211: failed to load regulatory.db
    [25.138] [  OK  ] Started Daily Cleanup of Temporary Directories.
    
    [25.150] [  OK  ] Reached target Timer Units.
    
    [25.160] [  OK  ] Listening on D-Bus System Message Bus Socket.
    
    [25.176] [  OK  ] Listening on dropbear.socket.
    
    [25.227] [  OK  ] Reached target Socket Units.
    
    [25.237] [  OK  ] Reached target Basic System.
    
    [25.242] [  OK  ] Started Kernel Logging Service.
    
    [25.299] [    6.378273] at24 0-0050: supply vcc not found, using dummy regulator
    [25.334] [  OK  ] Started System Logging Service.[    6.424128] at24 0-0050: 4096 byte 24c32 EEPROM, read-only
    [25.380] 
    
    [25.380] [    6.436304] mc: Linux media interface: v0.10
    [25.391]          Starting D-Bus System Message Bus...
    
    [25.410]          Starting User Login Management...
    
    [25.483] [  OK  ] Started Network Configuration.
    [    6.541857] videodev: Linux video capture interface: v2.00
    [25.502] 
    [25.502] [  OK  ] Reached target Network.
    
    [25.522]          Starting Permit User Sessions...
    
    [25.587] [  OK  ] Started D-Bus System Message Bus.
    
    [25.616] [    6.738543] imx219 1-0010: supply VANA not found, using dummy regulator
    [25.695] [    6.760456] imx219 1-0010: supply VDIG not found, using dummy regulator
    [25.718] [    6.767298] tidss 30200000.dss: failed to init OLDI: -517
    [25.722] [  OK  ] Finished Permit User Sessions.
    
    [25.768] [    6.826283] imx219 1-0010: supply VDDL not found, using dummy regulator
    [25.783] [    6.834997] tidss 30200000.dss: failed to init OLDI: -517
    [25.791] [    6.851101] tidss 30200000.dss: failed to init OLDI: -517
    [25.807] [  OK  ] Started Getty on tty1.
    
    [25.836] [    6.918161] tidss 30200000.dss: failed to init OLDI: -517
    [25.874] [  OK  ] Started Serial Getty on ttyS2.
    
    [25.896] [  OK  ] Reached target Login Prompts.
    
    [25.910]          Starting Synchronize System and HW clocks...
    
    [25.964] [  OK  ] Started User Login Management.
    [    7.024319] tidss 30200000.dss: failed to init OLDI: -517
    [25.980] 
    [25.981] [FAILED] Failed to start Synchronize System and HW clocks.
    
    [26.022] See 'systemctl status sync-clocks.service' for details.
    
    [26.030] [    7.079265] tidss 30200000.dss: failed to init OLDI: -517
    [26.035] [  OK  ] Reached target Multi-User System.
    
    [26.052]          Starting Record Runlevel Change in UTMP...
    
    [26.099] [  OK  ] Finished Record Runlevel Change in UTMP.
    
    [26.158] [    7.216872] panel-simple display: supply power not found, using dummy regulator
    [26.174] [    7.226324] platform 78000000.r5f: R5F core may have been powered on by a different host, programmed state (0) != actual state (1)
    [26.188] [    7.238440] tidss 30200000.dss: failed to init OLDI: -517
    [26.194] [    7.250793] tidss 30200000.dss: failed to init OLDI: -517
    [26.206] [    7.264860] pvrsrvkm: loading out-of-tree module taints kernel.
    [26.220] [    7.268235] rtc-ti-k3 2b1f0000.rtc: registered as rtc0
    [26.226] [    7.284719] [drm] Initialized tidss 1.0.0 20180215 for 30200000.dss on minor 0
    [26.243] [    7.298222] platform 78000000.r5f: configured R5F for IPC-only mode
    [26.254] [    7.315510] Console: switching to colour frame buffer device 160x50
    [26.301] [    7.325712] platform 78000000.r5f: assigned reserved memory node r5f-dma-memory@9da00000
    [26.320] [    7.328444] remoteproc remoteproc0: 78000000.r5f is available
    [26.329] [    7.328592] remoteproc remoteproc0: attaching to 78000000.r5f
    [26.335] [    7.353835] platform 78000000.r5f: R5F core initialized in IPC-only mode
    [26.342] [    7.353889] rproc-virtio rproc-virtio.4.auto: assigned reserved memory node r5f-dma-memory@9da00000
    [26.351] [    7.354804] virtio_rpmsg_bus virtio0: rpmsg host is online
    [26.357] [    7.354845] rproc-virtio rproc-virtio.4.auto: registered virtio0 (type 7)
    [26.363] [    7.354852] remoteproc remoteproc0: remote processor 78000000.r5f is now attached
    [26.371] [    7.355040] virtio_rpmsg_bus virtio0: creating channel ti.ipc4.ping-pong addr 0xd
    [26.379] [    7.355209] virtio_rpmsg_bus virtio0: creating channel rpmsg_chrdev addr 0xe
    [26.386] [    7.373214] PVR_K:  179: Device: fd00000.gpu
    [26.390] [    7.377386] PVR_K:  179: Read BVNC 33.15.11.3 from HW device registers
    [26.397] [    7.385850] tidss 30200000.dss: [drm] fb0: tidssdrmfb frame buffer device
    [26.403] [    7.396998] rtc-ti-k3 2b1f0000.rtc: setting system clock to 1970-01-01T00:00:15 UTC (15)
    [26.412] [    7.404583] PVR_K:  179: RGX Device registered with BVNC 33.15.11.3
    [26.418] [    7.408064] systemd-journald[101]: Time jumped backwards, rotating.
    [26.424] [    7.460552] [drm] Initialized pvr 24.1.6554834 20170530 for fd00000.gpu on minor 1
    [26.432] [    7.725708] remoteproc remoteproc1: 30074000.pru is available
    [26.681] [    7.735979] remoteproc remoteproc2: 30078000.pru is available
    [26.692] [    8.003293] cdns-csi2rx 30101000.csi-bridge: Probed CSI2RX with 2/4 lanes, 4 streams, external D-PHY
    [26.963] [    8.034762] xhci-hcd xhci-hcd.5.auto: xHCI Host Controller
    [26.991] [    8.045845] xhci-hcd xhci-hcd.5.auto: new USB bus registered, assigned bus number 1
    [27.004] [    8.063713] xhci-hcd xhci-hcd.5.auto: USB3 root hub has no ports
    [27.020] [    8.072279] xhci-hcd xhci-hcd.5.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000008000000010
    [27.032] [    8.122520] xhci-hcd xhci-hcd.5.auto: irq 487, io mem 0x31000000
    [27.078] [    8.150296] hub 1-0:1.0: USB hub found
    [27.104] [    8.160785] hub 1-0:1.0: 1 port detected
    [27.114] [    8.190054] xhci-hcd xhci-hcd.6.auto: xHCI Host Controller
    [27.145] [    8.228919] xhci-hcd xhci-hcd.6.auto: new USB bus registered, assigned bus number 2
    [27.187] [    8.238330] xhci-hcd xhci-hcd.6.auto: USB3 root hub has no ports
    [27.195] [    8.260315] xhci-hcd xhci-hcd.6.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000008000000010
    [27.220] [    8.271803] xhci-hcd xhci-hcd.6.auto: irq 490, io mem 0x31100000
    [27.228] [    8.279726] hub 2-0:1.0: USB hub found
    [27.234] [    8.283858] hub 2-0:1.0: 1 port detected
    [27.238] 
    
    [27.249]  _____                    _____           _         _   
    [27.253] |  _  |___ ___ ___ ___   |  _  |___ ___  |_|___ ___| |_ 
    [27.258] |     |  _| .'| . | . |  |   __|  _| . | | | -_|  _|  _|
    [27.263] |__|__|_| |__,|_  |___|  |__|  |_| |___|_| |___|___|_|  
    [27.268]               |___|                    |___|            
    [27.278] 
    [27.278] Arago Project mitysom-am62x ttyS2
    [27.278] 
    [27.278] Arago 2023.10 mitysom-am62x ttyS2
    [27.279] 
    [27.280] mitysom-am62x login:root\r
    \r
     root
    
    [27.396] 
    [27.396] [    8.445946] usb 1-1: new high-speed USB device number 2 using xhci-hcd
    [27.402] [    8.601271] usb-storage 1-1:1.0: USB Mass Storage device detected
    [27.557] [    8.609539] scsi host0: usb-storage 1-1:1.0
    [27.563] [    9.071360] usb 2-1: new high-speed USB device number 2 using xhci-hcd
    [28.028] 7[    9.227589] usb-storage 2-1:1.0: USB Mass Storage device detected
    [28.183] [    9.234703] scsi host1: usb-storage 2-1:1.0
    [28.189] [    9.622821] scsi 0:0:0:0: Direct-Access     SanDisk  Cruzer Blade     1.00 PQ: 0 ANSI: 6
    [28.581] [    9.642092] sd 0:0:0:0: [sda] 30629376 512-byte logical blocks: (15.7 GB/14.6 GiB)
    [28.599] [    9.652863] sd 0:0:0:0: [sda] Write Protect is off
    [28.608] [    9.660306] sd 0:0:0:0: [sda] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [28.619] [    9.676124]  sda: sda1
    [28.629] [    9.679044] sd 0:0:0:0: [sda] Attached SCSI removable disk
    [28.635] [   10.263030] scsi 1:0:0:0: Direct-Access     SanDisk  Cruzer Blade     1.00 PQ: 0 ANSI: 6
    [29.222] [   10.283060] sd 1:0:0:0: [sdb] 15630336 512-byte logical blocks: (8.00 GB/7.45 GiB)
    [29.241] [   10.291746] sd 1:0:0:0: [sdb] Write Protect is off
    [29.246] [   10.298748] sd 1:0:0:0: [sdb] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [29.258] [   10.313705]  sdb: sdb1
    [29.267] [   10.316814] sd 1:0:0:0: [sdb] Attached SCSI removable disk
    [29.273] root@mitysom-am62x:~#date 082902222024.58\r
    \r
     date 082902222024.58
    [31.295] Thu Aug 29 02:22:58 UTC 2024
    [31.295] root@mitysom-am62x:~#cd /home/root/test_fixture\r
     
    [31.480] root@mitysom-am62x:~#./run_test_am62x.sh "6252-TX-XXD-RI" "23026345" "80-001632RI-3A" "C4:FF:BC:71:27:7C" "23-07-09" \r
     cd /home/root/test_fixture
    [32.039] root@mitysom-am62x:/home/root/test_fixture# ./run_test_am62x.sh "6252-TX-XXD-RI" 
     "23026345" "80-001632RI-3A" "C4:FF:BC:71:27:7C" "23-07-09" 
    [32.081] [   33.493896] rcu: INFO: rcu_preempt self-detected stall on CPU
    [52.449] [   33.499651] rcu: 	0-....: (5249 ticks this GP) idle=7474/1/0x4000000000000004 softirq=7803/7803 fqs=1811
    [52.460] [   33.509116] rcu: 	(t=5250 jiffies g=2989 q=600 ncpus=2)
    [52.468] [   33.514334] CPU: 0 PID: 0 Comm: swapper/0 Tainted: G           O       6.6.32-g-g29c357e56d90 #1
    [52.473] [   33.523102] Hardware name: Critical Link MitySOM-AM62x (DT)
    [52.478] [   33.528658] pstate: 20000005 (nzCv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
    [52.485] [   33.535606] pc : _raw_spin_unlock_irqrestore+0x10/0x58
    [52.490] [   33.540744] lr : vblank_disable_fn+0x8c/0xb0 [drm]
    [52.495] [   33.545890] sp : ffff800080003dd0
    [52.499] [   33.549194] x29: ffff800080003dd0 x28: ffff800079bbb3f8 x27: ffff800080003e80
    [52.506] [   33.556323] x26: ffff800081478008 x25: 0000000000000000 x24: ffff8000818499e0
    [52.513] [   33.563447] x23: 0000000000000000 x22: ffff000001b82880 x21: ffff00000611e000
    [52.520] [   33.570570] x20: ffff00000611e14c x19: 0000000000000000 x18: ffff800082a6bbf8
    [52.527] [   33.577694] x17: ffff7ffff6707000 x16: ffff800080000000 x15: 00003d0900000000
    [52.534] [   33.584818] x14: 00000000000c3500 x13: 0000000000000000 x12: 003d090000000000
    [52.541] [   33.591943] x11: 0005a1d036d9b8fa x10: 0000000000000001 x9 : ffff800079bbb484
    [52.549] [   33.599067] x8 : 0000000000000091 x7 : 000000000000002b x6 : 000000015ab149ff
    [52.556] [   33.606190] x5 : 03ffffffffffffff x4 : ffff00000107a9c0 x3 : 0000000000000000
    [52.563] [   33.613313] x2 : 0000000000000001 x1 : 0000000000000000 x0 : ffff00000611e14c
    [52.570] [   33.620437] Call trace:
    [52.572] [   33.622874]  _raw_spin_unlock_irqrestore+0x10/0x58
    [52.577] [   33.627661]  call_timer_fn+0x3c/0x1c8
    [52.581] [   33.631320]  __run_timers+0x264/0x338
    [52.584] [   33.634975]  run_timer_softirq+0x28/0x50
    [52.588] [   33.638890]  __do_softirq+0x120/0x394
    [52.592] [   33.642544]  ____do_softirq+0x18/0x30
    [52.596] [   33.646200]  call_on_irq_stack+0x24/0x58
    [52.600] [   33.650113]  do_softirq_own_stack+0x24/0x38
    [52.604] [   33.654285]  irq_exit_rcu+0xc0/0xe8
    [52.607] [   33.657771]  el1_interrupt+0x38/0x68
    [52.611] [   33.661338]  el1h_64_irq_handler+0x18/0x28
    [52.615] [   33.665426]  el1h_64_irq+0x64/0x68
    [52.618] [   33.668818]  default_idle_call+0x5c/0x170
    [52.622] [   33.672819]  do_idle+0x218/0x278
    [52.626] [   33.676040]  cpu_startup_entry+0x40/0x50
    [52.629] [   33.679952]  rest_init+0xec/0xf8
    [52.633] [   33.683172]  arch_call_rest_init+0x18/0x20
    [52.637] [   33.687265]  start_kernel+0x520/0x688
    [52.640] [   33.690919]  __primary_switched+0xbc/0xd0
    [52.644] 
    [77.054] 
    [77.054] [TIMEOUT]
    [77.054]  sleep 3;  reboot\r
    ARDUINO POW OFF\r
    ^C sleep 3;  reboot
    [77.334] ARDUINO POW OFF
    [77.334] ARDUINO RESP: Turned off power to SOM
    

    Connection-6252-TX-XXD-RI-23026345-2024-08-29-02-52-19.log
    ARDUINO INIT\r
    ARDUINO INIT
    [0.137] ARDUINO RESP: Initialized output pins
    ARDUINO POW OFF\r
    [0.260] 
    [0.261] ARDUINO POW OFF
    [0.261] ARDUINO RESP: Turned off power to SOM
    ARDUINO POW ON\r
    [1.396] 
    [1.396] ARDUINO POW ON
    [1.396] ARDUINO RESP: Turned on power to SOM (VSEL = 3V3)
    [1.397] 
    [1.397] 
    [1.962] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [1.968] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [2.011] Configuring DDR4 for 2GB
    [2.036] SPL initial stack usage: 13392 bytes
    [2.057] Trying to boot from MMC2
    [2.077] Authentication passed
    [2.171] Authentication passed
    [2.177] Authentication passed
    [2.182] Authentication passed
    [2.217] Authentication passed
    [2.223] Starting ATF on ARM64 core...
    [2.228] 
    [2.228] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [2.234] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [2.238] 
    [2.628] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [2.633] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [2.732] SPL initial stack usage: 1904 bytes
    [2.773] Trying to boot from MMC2
    [2.776] Authentication passed
    [2.900] Authentication passed
    [2.909] 
    [3.693] 
    [3.693] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [3.698] 
    [3.698] SoC:   AM62X SR1.0 HS-FS
    [3.701] Model: Critical Link MitySOM-AM62x
    [3.704] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [3.829] DRAM:  2 GiB
    [3.916] Core:  101 devices, 31 uclasses, devicetree: separate
    [3.975] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [3.991] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [4.063] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [4.108] *** Warning - No block device, using default environment
    [4.113] 
    [4.113] In:    serial@2800000
    [4.121] Out:   serial@2800000
    [4.123] Err:   serial@2800000
    [4.125] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [4.181] Hit any key to stop autoboot\r
    :  2  0 
    [4.240] =>md.l 0x43000030 1\r
    md.l 0x43000030 1
    [4.472] 43000030: 00000243                             C...
    ARDUINO MEAS 1V8\r
    ARDUINO MEAS 1V8
    [4.705] ARDUINO RESP: 1761.97 mV
    Test: 1700 <= 1761.97 <= 1900: OK
    [4.705] ARDUINO READ RESETSTAT\r
    ARDUINO READ RESETSTAT
    [4.937] ARDUINO RESP: 0
    ARDUINO READ POR_OUT\r
    ARDUINO READ POR_OUT
    [5.170] ARDUINO RESP: 0
    ARDUINO READ SOM_PRESENT\r
    ARDUINO READ SOM_PRESENT
    [5.466] ARDUINO RESP: 1
    i2c dev 0\r
    [5.527] 
    [5.527] i2c dev 0
    [5.527] Setting bus to 0
    [5.527] =>i2c mw 0x30 0x33 0xff\r
     i2c mw 0x30 0x33 0xff
    [5.651] =>i2c md 0x30 0x33 1\r
    i2c md 0x30 0x33 1
    [5.885] 0033: 04    .
    [5.885] =>ARDUINO WRITE PMIC_PB 1\r
     ARDUINO WRITE PMIC_PB 1
    [6.008] ARDUINO RESP:i2c md 0x30 0x33 1\r
    i2c md 0x30 0x33 1
    [6.445] 0033: 01    .
    ARDUINO WRITE PMIC_PB 0\r
    [6.569] => ARDUINO WRITE PMIC_PB 0
    [6.569] ARDUINO RESP:i2c mw 0x30 0x33 0xff\r
     0
    [6.691] 
    [6.691] i2c mw 0x30 0x33 0xff
    [6.691] =>i2c mw 0x30 0x1e 0x7\r
     i2c mw 0x30 0x1e 0x7
    [6.817] =>ARDUINO READ PMIC_GPIOn\r
    ARDUINO READ PMIC_GPIOn
    [7.050] ARDUINO RESP: 0
    i2c mw 0x30 0x1e 0x6\r
    [7.175] 
    [7.175] i2c mw 0x30 0x1e 0x6
    [7.176] =>ARDUINO READ PMIC_GPIOn\r
    ARDUINO READ PMIC_GPIOn
    [7.408] ARDUINO RESP: 1
    ARDUINO PULSE RESET_REQ 1\r
    [7.595] 
    [7.595] ARDUINO PULSE RESET_REQ 1
    [7.634] ARDUINO RESP: Done
    [7.634] 
    [7.634] 
    [8.224] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [8.229] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [8.272] Configuring DDR4 for 2GB
    [8.298] SPL initial stack usage: 13392 bytes
    [8.317] Trying to boot from MMC2
    [8.338] Authentication passed
    [8.433] Authentication passed
    [8.439] Authentication passed
    [8.444] Authentication passed
    [8.478] Authentication passed
    [8.484] Starting ATF on ARM64 core...
    [8.489] 
    [8.489] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [8.496] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [8.500] 
    [8.890] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [8.897] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [8.993] SPL initial stack usage: 1904 bytes
    [9.035] Trying to boot from MMC2
    [9.037] Authentication passed
    [9.163] Authentication passed
    [9.172] 
    [9.956] 
    [9.956] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [9.961] 
    [9.961] SoC:   AM62X SR1.0 HS-FS
    [9.964] Model: Critical Link MitySOM-AM62x
    [9.967] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [10.092] DRAM:  2 GiB
    [10.179] Core:  101 devices, 31 uclasses, devicetree: separate
    [10.238] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [10.254] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [10.326] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [10.371] *** Warning - No block device, using default environment
    [10.376] 
    [10.376] In:    serial@2800000
    [10.384] Out:   serial@2800000
    [10.386] Err:   serial@2800000
    [10.388] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [10.444] Hit any key to stop autoboot\r
    :  2  0 
    [10.511] =>ARDUINO PULSE MCU_RESET 1\r
     ARDUINO PULSE MCU_RESET 1
    [10.737] ARDUINO RESP: Done
    [10.738] 
    [10.738] 
    [11.328] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [11.334] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [11.376] Configuring DDR4 for 2GB
    [11.402] SPL initial stack usage: 13392 bytes
    [11.422] Trying to boot from MMC2
    [11.442] Authentication passed
    [11.537] Authentication passed
    [11.543] Authentication passed
    [11.548] Authentication passed
    [11.582] Authentication passed
    [11.589] Starting ATF on ARM64 core...
    [11.593] 
    [11.594] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [11.600] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [11.604] 
    [11.993] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [11.999] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [12.097] SPL initial stack usage: 1904 bytes
    [12.139] Trying to boot from MMC2
    [12.141] Authentication passed
    [12.263] Authentication passed
    [12.272] 
    [13.057] 
    [13.057] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [13.062] 
    [13.062] SoC:   AM62X SR1.0 HS-FS
    [13.064] Model: Critical Link MitySOM-AM62x
    [13.067] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [13.192] DRAM:  2 GiB
    [13.279] Core:  101 devices, 31 uclasses, devicetree: separate
    [13.339] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [13.354] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [13.426] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [13.471] *** Warning - No block device, using default environment
    [13.476] 
    [13.476] In:    serial@2800000
    [13.484] Out:   serial@2800000
    [13.486] Err:   serial@2800000
    [13.488] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [13.544] Hit any key to stop autoboot\r
    :  2  0 
    [13.602] =>i2c dev 1; i2c mw 0x38 8 0xbf; i2c mw 0x39 8 0xbf\r
     i2c dev 1; i2c mw 0x38 8 0xbf; i2c mw 0x39 8 0xbf
    [13.912] Setting bus to 1
    [13.912] =>if test $board_name = mitysom-62ax; then setenv platform am62a7; fi\r
     if test $board_name = mitysom-62ax; then setenv platform am62a7; fi
    [14.285] =>if test $board_name = mitysom-62px; then setenv platform am62p5; fi\r
     if test $board_name = mitysom-62px; then setenv platform am62p5; fi
    [14.657] =>if test $board_name = mitysom-62x; then setenv platform am62x; fi\r
     if test $board_name = mitysom-62x; then setenv platform am62x; fi
    [15.031] =>print platform\r
     print platform
    [15.156] platform=am62x
    [15.156] =>setenv boot_fit 0; setenv default_device_tree ti/k3-${platform}-mitysom-testfixture.dtb; setenv fdtfile ti/k3-${platform}-mitysom-testfixture.dtb; setenv name_overlays ''\r
     setenv boot_fit 0; setenv default_device_tree ti/k3-${platform}-mitysom-testfixture.dtb; setenv fdtfile ti/k3-${platform}-mitysom-testfixture.dtb; setenv name_overlays ''
    [16.086] =>setenv optargs audit=0\r
     setenv optargs audit=0
    [16.211] =>mw.b ${loadaddr} 0 1; fatwrite mmc 1:1 ${loadaddr} .psdk_setup 1;\r
     mw.b ${loadaddr} 0 1; fatwrite mmc 1:1 ${loadaddr} .psdk_setup 1;
    [16.584] 1 bytes written in 4 ms (0 Bytes/s)
    [16.584] =>boot\r
     boot
    [16.646] switch to partitions #0, OK
    [16.647] mmc1 is current device
    [16.648] SD/MMC found on device 1
    [16.706] 574 bytes read in 29 ms (18.6 KiB/s)
    [16.740] Loaded env from uEnv.txt
    [16.742] Importing environment from mmc1 ...
    [16.745] 27734528 bytes read in 1115 ms (23.7 MiB/s)
    [17.869] 64042 bytes read in 34 ms (1.8 MiB/s)
    [17.910] Working FDT set to 88000000
    [17.912] ## Flattened Device Tree blob at 88000000
    [17.917]    Booting using the fdt blob at 0x88000000
    [17.921] Working FDT set to 88000000
    [17.923]    Loading Device Tree to 000000008feed000, end 000000008fffffff ... OK
    [17.956] Working FDT set to 8feed000
    [17.959] 
    [17.983] Starting kernel ...
    [17.986] 
    [17.986] [    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
    [18.029] [    0.000000] Linux version 6.6.32-g-g29c357e56d90 (oe-user@oe-host) (aarch64-oe-linux-gcc (GCC) 13.3.0, GNU ld (GNU Binutils) 2.42.0.20240716) #1 SMP PREEMPT Fri Aug 23 16:11:00 UTC 2024
    [18.046] [    0.000000] KASLR disabled due to lack of seed
    [18.050] [    0.000000] Machine model: Critical Link MitySOM-AM62x
    [18.056] [    0.000000] earlycon: ns16550a0 at MMIO32 0x0000000002800000 (options '')
    [18.063] [    0.000000] printk: bootconsole [ns16550a0] enabled
    [18.068] [    0.000000] efi: UEFI not found.
    [18.071] [    0.000000] Reserved memory: created CMA memory pool at 0x00000000f8000000, size 128 MiB
    [18.080] [    0.000000] OF: reserved mem: initialized node linux,cma, compatible id shared-dma-pool
    [18.088] [    0.000000] OF: reserved mem: 0x00000000f8000000..0x00000000ffffffff (131072 KiB) map reusable linux,cma
    [18.098] [    0.000000] OF: reserved mem: 0x0000000080000000..0x000000008007ffff (512 KiB) nomap non-reusable tfa@80000000
    [18.108] [    0.000000] OF: reserved mem: 0x000000009c700000..0x000000009c7fffff (1024 KiB) map non-reusable ramoops@9c700000
    [18.119] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009c800000, size 3 MiB
    [18.127] [    0.000000] OF: reserved mem: initialized node ipc-memories@9c800000, compatible id shared-dma-pool
    [18.136] [    0.000000] OF: reserved mem: 0x000000009c800000..0x000000009cafffff (3072 KiB) nomap non-reusable ipc-memories@9c800000
    [18.147] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009cb00000, size 1 MiB
    [18.155] [    0.000000] OF: reserved mem: initialized node m4f-dma-memory@9cb00000, compatible id shared-dma-pool
    [18.165] [    0.000000] OF: reserved mem: 0x000000009cb00000..0x000000009cbfffff (1024 KiB) nomap non-reusable m4f-dma-memory@9cb00000
    [18.178] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009cc00000, size 14 MiB
    [18.184] [    0.000000] OF: reserved mem: initialized node m4f-memory@9cc00000, compatible id shared-dma-pool
    [18.193] [    0.000000] OF: reserved mem: 0x000000009cc00000..0x000000009d9fffff (14336 KiB) nomap non-reusable m4f-memory@9cc00000
    [18.204] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009da00000, size 1 MiB
    [18.212] [    0.000000] OF: reserved mem: initialized node r5f-dma-memory@9da00000, compatible id shared-dma-pool
    [18.222] [    0.000000] OF: reserved mem: 0x000000009da00000..0x000000009dafffff (1024 KiB) nomap non-reusable r5f-dma-memory@9da00000
    [18.233] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009db00000, size 12 MiB
    [18.241] [    0.000000] OF: reserved mem: initialized node r5f-memory@9db00000, compatible id shared-dma-pool
    [18.250] [    0.000000] OF: reserved mem: 0x000000009db00000..0x000000009e6fffff (12288 KiB) nomap non-reusable r5f-memory@9db00000
    [18.261] [    0.000000] OF: reserved mem: 0x000000009e800000..0x000000009fffffff (24576 KiB) nomap non-reusable optee@9e800000
    [18.272] [    0.000000] Zone ranges:
    [18.319] [    0.000000]   DMA      [mem 0x0000000080000000-0x00000000ffffffff]
    [18.325] [    0.000000]   DMA32    empty
    [18.328] [    0.000000]   Normal   empty
    [18.331] [    0.000000] Movable zone start for each node
    [18.335] [    0.000000] Early memory node ranges
    [18.339] [    0.000000]   node   0: [mem 0x0000000080000000-0x000000008007ffff]
    [18.345] [    0.000000]   node   0: [mem 0x0000000080080000-0x000000009c7fffff]
    [18.352] [    0.000000]   node   0: [mem 0x000000009c800000-0x000000009e6fffff]
    [18.358] [    0.000000]   node   0: [mem 0x000000009e700000-0x000000009e7fffff]
    [18.373] [    0.000000]   node   0: [mem 0x000000009e800000-0x000000009fffffff]
    [18.373] [    0.000000]   node   0: [mem 0x00000000a0000000-0x00000000ffffffff]
    [18.377] [    0.000000] Initmem setup node 0 [mem 0x0000000080000000-0x00000000ffffffff]
    [18.384] [    0.000000] psci: probing for conduit method from DT.
    [18.405] [    0.000000] psci: PSCIv1.1 detected in firmware.
    [18.410] [    0.000000] psci: Using standard PSCI v0.2 function IDs
    [18.415] [    0.000000] psci: Trusted OS migration not required
    [18.420] [    0.000000] psci: SMC Calling Convention v1.4
    [18.425] [    0.000000] percpu: Embedded 29 pages/cpu s81128 r8192 d29464 u118784
    [18.432] [    0.000000] Detected VIPT I-cache on CPU0
    [18.436] [    0.000000] CPU features: detected: GIC system register CPU interface
    [18.443] [    0.000000] CPU features: detected: ARM erratum 845719
    [18.448] [    0.000000] alternatives: applying boot alternatives
    [18.453] [    0.000000] Kernel command line: console=ttyS2,115200n8 audit=0 earlycon=ns16550a,mmio32,0x02800000 mtdparts=spi-nand0:512k(ospi_nand.tiboot3),2m(ospi_nand.tispl),4m(ospi_nand.u-boot),256k(ospi_nand.env),256k(ospi_nand.env.backup),98048k@32m(ospi_nand.rootfs),256k@130816k(ospi_nand.phypattern) root=PARTUUID=076c4a2a-02 rw rootfstype=ext4 rootwait
    [18.485] [    0.000000] audit: disabled (until reboot)
    [18.489] [    0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
    [18.498] [    0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
    [18.507] [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 516096
    [18.513] [    0.000000] mem auto-init: stack:all(zero), heap alloc:off, heap free:off
    [18.520] [    0.000000] software IO TLB: area num 2.
    [18.524] [    0.000000] software IO TLB: mapped [mem 0x00000000f1800000-0x00000000f5800000] (64MB)
    [18.598] [    0.000000] Memory: 1773904K/2097152K available (14720K kernel code, 2252K rwdata, 4936K rodata, 5056K init, 453K bss, 192176K reserved, 131072K cma-reserved)
    [18.659] [    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=2, Nodes=1
    [18.666] [    0.000000] ftrace: allocating 47951 entries in 188 pages
    [18.672] [    0.000000] ftrace: allocated 188 pages with 5 groups
    [18.783] [    0.000000] trace event string verifier disabled
    [18.786] [    0.000000] rcu: Preemptible hierarchical RCU implementation.
    [18.792] [    0.000000] rcu: 	RCU event tracing is enabled.
    [18.797] [    0.000000] rcu: 	RCU restricting CPUs from NR_CPUS=256 to nr_cpu_ids=2.
    [18.803] [    0.000000] 	Trampoline variant of Tasks RCU enabled.
    [18.809] [    0.000000] 	Rude variant of Tasks RCU enabled.
    [18.813] [    0.000000] 	Tracing variant of Tasks RCU enabled.
    [18.818] [    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
    [18.826] [    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
    [18.833] [    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
    [18.846] [    0.000000] GICv3: GIC: Using split EOI/Deactivate mode
    [18.852] [    0.000000] GICv3: 256 SPIs implemented
    [18.856] [    0.000000] GICv3: 0 Extended SPIs implemented
    [18.861] [    0.000000] Root IRQ handler: gic_handle_irq
    [18.865] [    0.000000] GICv3: GICv3 features: 16 PPIs
    [18.870] [    0.000000] GICv3: CPU0: found redistributor 0 region 0:0x0000000001880000
    [18.877] [    0.000000] ITS [mem 0x01820000-0x0182ffff]
    [18.881] [    0.000000] GIC: enabling workaround for ITS: Socionext Synquacer pre-ITS
    [18.888] [    0.000000] ITS@0x0000000001820000: Devices Table too large, reduce ids 20->19
    [18.896] [    0.000000] ITS@0x0000000001820000: allocated 524288 Devices @80c00000 (flat, esz 8, psz 64K, shr 0)
    [18.907] [    0.000000] ITS: using cache flushing for cmd queue
    [18.912] [    0.000000] GICv3: using LPI property table @0x0000000080590000
    [18.918] [    0.000000] GIC: using cache flushing for LPI property table
    [18.924] [    0.000000] GICv3: CPU0: using allocated LPI pending table @0x00000000805a0000
    [18.932] [    0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention.
    [18.939] [    0.000000] arch_timer: cp15 timer(s) running at 200.00MHz (phys).
    [18.946] [    0.000000] clocksource: arch_sys_counter: mask: 0x3ffffffffffffff max_cycles: 0x2e2049d3e8, max_idle_ns: 440795210634 ns
    [18.957] [    0.000000] sched_clock: 58 bits at 200MHz, resolution 5ns, wraps every 4398046511102ns
    [18.965] [    0.008664] Console: colour dummy device 80x25
    [18.988] [    0.013275] Calibrating delay loop (skipped), value calculated using timer frequency.. 400.00 BogoMIPS (lpj=800000)
    [18.988] [    0.023964] pid_max: default: 32768 minimum: 301
    [18.988] [    0.028786] LSM: initializing lsm=capability,integrity
    [18.991] [    0.034181] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
    [18.999] [    0.041772] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
    [19.007] [    0.051971] RCU Tasks: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.016] [    0.059318] RCU Tasks Rude: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.024] [    0.067060] RCU Tasks Trace: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.032] [    0.075062] rcu: Hierarchical SRCU implementation.
    [19.037] [    0.079968] rcu: 	Max phase no-delay instances is 1000.
    [19.042] [    0.085641] Platform MSI: msi-controller@1820000 domain created
    [19.048] [    0.092014] PCI/MSI: /bus@f0000/interrupt-controller@1800000/msi-controller@1820000 domain created
    [19.058] [    0.101512] EFI services will not be available.
    [19.063] [    0.106421] smp: Bringing up secondary CPUs ...
    [19.068] [    0.111870] Detected VIPT I-cache on CPU1
    [19.073] [    0.111978] GICv3: CPU1: found redistributor 1 region 0:0x00000000018a0000
    [19.080] [    0.111998] GICv3: CPU1: using allocated LPI pending table @0x00000000805b0000
    [19.088] [    0.112063] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
    [19.094] [    0.112218] smp: Brought up 1 node, 2 CPUs
    [19.098] [    0.141630] SMP: Total of 2 processors activated.
    [19.103] [    0.146447] CPU features: detected: 32-bit EL0 Support
    [19.108] [    0.151727] CPU features: detected: CRC32 instructions
    [19.114] [    0.157062] CPU: All CPU(s) started at EL2
    [19.118] [    0.161266] alternatives: applying system-wide alternatives
    [19.124] [    0.169149] devtmpfs: initialized
    [19.129] [    0.182979] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
    [19.150] [    0.193025] futex hash table entries: 512 (order: 3, 32768 bytes, linear)
    [19.157] [    0.204782] pinctrl core: initialized pinctrl subsystem
    [19.167] [    0.210833] DMI not present or invalid.
    [19.172] [    0.215572] NET: Registered PF_NETLINK/PF_ROUTE protocol family
    [19.197] [    0.222722] DMA: preallocated 256 KiB GFP_KERNEL pool for atomic allocations
    [19.197] [    0.230086] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations
    [19.197] [    0.238146] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
    [19.203] [    0.246906] thermal_sys: Registered thermal governor 'step_wise'
    [19.210] [    0.246915] thermal_sys: Registered thermal governor 'power_allocator'
    [19.217] [    0.253113] cpuidle: using governor menu
    [19.221] [    0.263981] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
    [19.228] [    0.270992] ASID allocator initialised with 65536 entries
    [19.233] [    0.283601] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu-system-default-pins
    [19.252] [    0.295758] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/jtag-default-pins
    [19.264] [    0.307337] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu-gpio0-loopback-default-pins
    [19.277] [    0.320158] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu_system_pins_default
    [19.289] [    0.336501] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/main-system-default-pins
    [19.304] [    0.347300] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/gpio1-loopback-default-pins
    [19.315] [    0.358343] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/gpio0-loopback-default-pins
    [19.326] [    0.372608] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@1
    [19.339] [    0.382527] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@0
    [19.349] [    0.395987] platform display: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@1
    [19.362] [    0.405485] platform display: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@0
    [19.372] [    0.415335] Modules: 25872 pages in range for non-PLT usage
    [19.378] [    0.415344] Modules: 517392 pages in range for PLT usage
    [19.399] [    0.422143] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages
    [19.399] [    0.434578] HugeTLB: 0 KiB vmemmap can be freed for a 1.00 GiB page
    [19.399] [    0.440992] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages
    [19.405] [    0.447935] HugeTLB: 0 KiB vmemmap can be freed for a 32.0 MiB page
    [19.411] [    0.454347] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages
    [19.418] [    0.461289] HugeTLB: 0 KiB vmemmap can be freed for a 2.00 MiB page
    [19.424] [    0.467700] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages
    [19.431] [    0.474642] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page
    [19.438] [    0.483070] k3-chipinfo 43000014.chipid: Family:AM62X rev:SR1.0 JTAGID[0x0bb7e02f] Detected
    [19.448] [    0.493912] iommu: Default domain type: Translated
    [19.456] [    0.498883] iommu: DMA domain TLB invalidation policy: strict mode
    [19.462] [    0.505558] SCSI subsystem initialized
    [19.466] [    0.509888] usbcore: registered new interface driver usbfs
    [19.472] [    0.515556] usbcore: registered new interface driver hub
    [19.478] [    0.521037] usbcore: registered new device driver usb
    [19.483] [    0.526800] pps_core: LinuxPPS API ver. 1 registered
    [19.489] [    0.531895] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
    [19.498] [    0.541252] PTP clock support registered
    [19.502] [    0.545462] EDAC MC: Ver: 3.0.0
    [19.505] [    0.549413] scmi_core: SCMI protocol bus registered
    [19.511] [    0.554753] FPGA manager framework
    [19.515] [    0.558331] Advanced Linux Sound Architecture Driver Initialized.
    [19.521] [    0.566025] clocksource: Switched to clocksource arch_sys_counter
    [19.529] [    0.572757] VFS: Disk quotas dquot_6.6.0
    [19.534] [    0.582052] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
    [19.546] [    0.596476] NET: Registered PF_INET protocol family
    [19.558] [    0.601784] IP idents hash table entries: 32768 (order: 6, 262144 bytes, linear)
    [19.566] [    0.611380] tcp_listen_portaddr_hash hash table entries: 1024 (order: 2, 16384 bytes, linear)
    [19.577] [    0.620259] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear)
    [19.594] [    0.628208] TCP established hash table entries: 16384 (order: 5, 131072 bytes, linear)
    [19.594] [    0.636461] TCP bind hash table entries: 16384 (order: 7, 524288 bytes, linear)
    [19.601] [    0.644502] TCP: Hash tables configured (established 16384 bind 16384)
    [19.608] [    0.651416] UDP hash table entries: 1024 (order: 3, 32768 bytes, linear)
    [19.615] [    0.658342] UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes, linear)
    [19.622] [    0.665887] NET: Registered PF_UNIX/PF_LOCAL protocol family
    [19.628] [    0.672327] RPC: Registered named UNIX socket transport module.
    [19.635] [    0.678412] RPC: Registered udp transport module.
    [19.640] [    0.683225] RPC: Registered tcp transport module.
    [19.645] [    0.688034] RPC: Registered tcp-with-tls transport module.
    [19.650] [    0.693644] RPC: Registered tcp NFSv4.1 backchannel transport module.
    [19.657] [    0.700266] NET: Registered PF_XDP protocol family
    [19.662] [    0.705192] PCI: CLS 0 bytes, default 64
    [19.666] [    0.715359] Initialise system trusted keyrings
    [19.677] [    0.720235] workingset: timestamp_bits=46 max_order=19 bucket_order=0
    [19.684] [    0.727282] squashfs: version 4.0 (2009/01/31) Phillip Lougher
    [19.690] [    0.733623] NFS: Registering the id_resolver key type
    [19.696] [    0.738866] Key type id_resolver registered
    [19.700] [    0.743149] Key type id_legacy registered
    [19.704] [    0.747273] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
    [19.711] [    0.754133] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering...
    [19.718] [    0.802306] Key type asymmetric registered
    [19.763] [    0.806502] Asymmetric key parser 'x509' registered
    [19.768] [    0.811570] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245)
    [19.776] [    0.819348] io scheduler mq-deadline registered
    [19.781] [    0.824027] io scheduler kyber registered
    [19.785] [    0.828173] io scheduler bfq registered
    [19.789] [    0.835783] pinctrl-single 4084000.pinctrl: 34 pins, size 136
    [19.806] [    0.842662] pinctrl-single f4000.pinctrl: 171 pins, size 684
    [19.806] [    0.860752] Serial: 8250/16550 driver, 12 ports, IRQ sharing enabled
    [19.824] [    0.879395] loop: module loaded
    [19.839] [    0.883882] megasas: 07.725.01.00-rc1
    [19.844] [    0.892340] tun: Universal TUN/TAP device driver, 1.6
    [19.854] [    0.898441] thunder_xcv, ver 1.0
    [19.859] [    0.901771] thunder_bgx, ver 1.0
    [19.862] [    0.905110] nicpf, ver 1.0
    [19.865] [    0.908060] e1000: Intel(R) PRO/1000 Network Driver
    [19.870] [    0.913053] e1000: Copyright (c) 1999-2006 Intel Corporation.
    [19.876] [    0.918963] e1000e: Intel(R) PRO/1000 Network Driver
    [19.881] [    0.924043] e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
    [19.887] [    0.930124] igb: Intel(R) Gigabit Ethernet Network Driver
    [19.892] [    0.935649] igb: Copyright (c) 2007-2014 Intel Corporation.
    [19.898] [    0.941373] igbvf: Intel(R) Gigabit Virtual Function Network Driver
    [19.905] [    0.947799] igbvf: Copyright (c) 2009 - 2012 Intel Corporation.
    [19.911] [    0.953998] sky2: driver version 1.30
    [19.915] [    0.958886] VFIO - User Level meta-driver version: 0.3
    [19.921] [    0.964829] usbcore: registered new interface driver usb-storage
    [19.928] [    0.971725] i2c_dev: i2c /dev entries driver
    [19.933] [    0.978101] sdhci: Secure Digital Host Controller Interface driver
    [19.941] [    0.984442] sdhci: Copyright(c) Pierre Ossman
    [19.946] [    0.989164] sdhci-pltfm: SDHCI platform and OF driver helper
    [19.952] [    0.995773] ledtrig-cpu: registered to indicate activity on CPUs
    [19.959] [    1.002326] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping ....
    [19.966] [    1.009404] usbcore: registered new interface driver usbhid
    [19.972] [    1.015114] usbhid: USB HID core driver
    [19.976] [    1.019446] omap-mailbox 29000000.mailbox: omap mailbox rev 0x66fc9100
    [19.983] [    1.027779] hw perfevents: enabled with armv8_cortex_a53 PMU driver, 7 counters available
    [19.993] [    1.036891] optee: probing for conduit method.
    [20.026] [    1.041494] optee: revision 4.2 (12d7c4ee)
    [20.026] [    1.041882] optee: dynamic shared memory is enabled
    [20.026] [    1.051569] optee: initialized driver
    [20.026] [    1.057420] Initializing XFRM netlink socket
    [20.026] [    1.061902] NET: Registered PF_PACKET protocol family
    [20.026] [    1.067187] Key type dns_resolver registered
    [20.028] [    1.081474] registered taskstats version 1
    [20.042] [    1.085899] Loading compiled-in X.509 certificates
    [20.048] [    1.105346] ti-sci 44043000.system-controller: ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [20.072] [    1.161431] ti-sci-clk 44043000.system-controller:clock-controller: recalc-rate failed for dev=81, clk=20, ret=-19
    [20.129] [    1.191162] i2c 0-0030: Fixed dependency cycle(s) with /bus@f0000/i2c@20000000/pmic@30/regulators/buck2
    [20.158] [    1.201235] omap_i2c 20000000.i2c: bus 0 rev0.12 at 400 kHz
    [20.164] [    1.208655] pca953x 1-0020: supply vcc not found, using dummy regulator
    [20.172] [    1.215702] pca953x 1-0020: using no AI
    [20.176] [    1.243342] i2c 1-0010: Fixed dependency cycle(s) with /bus@f0000/ticsi2rx@30102000/csi-bridge@30101000
    [20.210] [    1.253330] omap_i2c 20010000.i2c: bus 1 rev0.12 at 100 kHz
    [20.216] [    1.259364] ti-sci-intr 4210000.interrupt-controller: Interrupt Router 5 domain created
    [20.224] [    1.267771] ti-sci-intr bus@f0000:interrupt-controller@a00000: Interrupt Router 3 domain created
    [20.234] [    1.277120] ti-sci-inta 48000000.interrupt-controller: Interrupt Aggregator domain 28 created
    [20.243] [    1.287815] ti-udma 485c0100.dma-controller: Number of rings: 82
    [20.251] [    1.297195] ti-udma 485c0100.dma-controller: Channels: 48 (bchan: 18, tchan: 12, rchan: 18)
    [20.263] [    1.309005] ti-udma 485c0000.dma-controller: Number of rings: 150
    [20.272] [    1.321212] ti-udma 485c0000.dma-controller: Channels: 35 (tchan: 20, rchan: 15)
    [20.286] [    1.332216] printk: console [ttyS2] disabled
    [20.293] [    1.337071] 2800000.serial: ttyS2 at MMIO 0x2800000 (irq = 238, base_baud = 3000000) is a 8250
    [20.303] [    1.346133] printk: console [ttyS2] enabled
    [20.307] [    1.346133] printk: console [ttyS2] enabled
    [20.311] [    1.354632] printk: bootconsole [ns16550a0] disabled
    [20.316] [    1.354632] printk: bootconsole [ns16550a0] disabled
    [20.321] [    1.381336] spi-nor spi0.0: unrecognized JEDEC id bytes: ff ff ff ff ff ff
    [20.345] [    1.392085] mmc0: CQHCI version 5.10
    [20.352] [    1.432672] VDD_CORE: Bringing 750000uV into 850000-850000uV
    [20.395] [    1.433515] input: tps65219-pwrbutton as /devices/platform/bus@f0000/20000000.i2c/i2c-0/0-0030/tps65219-pwrbutton.2.auto/input/input0
    [20.407] [    1.438489] mmc0: SDHCI controller on fa10000.mmc [fa10000.mmc] using ADMA 64-bit
    [20.415] [    1.467909] mmc1: CQHCI version 5.10
    [20.428] [    1.469792] debugfs: Directory 'pd:186' with parent 'pm_genpd' already present!
    [20.436] [    1.479816] clk: Disabling unused clocks
    [20.440] [    1.486512] ti-sci-clk 44043000.system-controller:clock-controller: is_prepared failed for dev=81, clk=20, ret=-19
    [20.454] [    1.502115] ALSA device list:
    [20.462] [    1.505076]   No soundcards found.
    [20.465] [    1.510354] mmc1: SDHCI controller on fa00000.mmc [fa00000.mmc] using ADMA 64-bit
    [20.475] [    1.518569] Waiting for root device PARTUUID=076c4a2a-02...
    [20.481] [    1.575453] mmc1: new ultra high speed SDR104 SDHC card at address aaaa
    [20.539] [    1.582950] mmcblk1: mmc1:aaaa SA08G 7.40 GiB
    [20.544] [    1.590555]  mmcblk1: p1 p2
    [20.550] [    1.628838] EXT4-fs (mmcblk1p2): mounted filesystem dd8b7318-d11a-4d67-b3b9-a3869236fe3f r/w with ordered data mode. Quota mode: none.
    [20.598] [    1.641080] VFS: Mounted root (ext4 filesystem) on device 179:2.
    [20.606] [    1.648263] devtmpfs: mounted
    [20.608] [    1.656252] Freeing unused kernel memory: 5056K
    [20.618] [    1.660933] Run /sbin/init as init process
    [20.622] [    1.859021] systemd[1]: System time before build time, advancing clock.
    [20.822] [    1.885555] systemd[1]: Failed to find module 'autofs4'
    [20.848] [    1.914699] NET: Registered PF_INET6 protocol family
    [20.876] [    1.920754] Segment Routing with IPv6
    [20.881] [    1.924530] In-situ OAM (IOAM) with IPv6
    [20.885] [    1.962131] systemd[1]: systemd 255.4^ running in system mode (+PAM -AUDIT -SELINUX -APPARMOR +IMA -SMACK +SECCOMP -GCRYPT -GNUTLS -OPENSSL +ACL +BLKID -CURL -ELFUTILS -FIDO2 -IDN2 -IDN -IPTC +KMOD -LIBCRYPTSETUP +LIBFDISK -PCRE2 -PWQUALITY -P11KIT -QRENCODE -TPM2 -BZIP2 -LZ4 -XZ -ZLIB +ZSTD -BPF_FRAMEWORK -XKBCOMMON +UTMP +SYSVINIT default-hierarchy=unified)
    [20.951] [    1.994109] systemd[1]: Detected architecture arm64.
    [20.956] 
    [20.959] Welcome to Arago 2023.10!
    [20.962] 
    
    [20.962] [    2.013068] systemd[1]: Hostname set to <mitysom-am62x>.
    [20.975] [    2.431688] systemd[1]: /etc/systemd/system/sync-clocks.service:11: Standard output type syslog is obsolete, automatically updating to journal. Please update your unit file, and consider removing the setting altogether.
    [21.408] [    2.507801] systemd[1]: Queued start job for default target Multi-User System.
    [21.472] [    2.558515] systemd[1]: Created slice Slice /system/getty.
    [21.521] [  OK  ] Created slice Slice /system/getty.
    
    [21.528] [    2.584050] systemd[1]: Created slice Slice /system/modprobe.
    [21.547] [  OK  ] Created slice Slice /system/modprobe.
    
    [21.553] [    2.608093] systemd[1]: Created slice Slice /system/serial-getty.
    [21.571] [  OK  ] Created slice Slice /system/serial-getty.
    
    [21.578] [    2.631543] systemd[1]: Created slice User and Session Slice.
    [21.594] [  OK  ] Created slice User and Session Slice.
    
    [21.601] [    2.654584] systemd[1]: Started Dispatch Password Requests to Console Directory Watch.
    [21.619] [  OK  ] Started Dispatch Password Requests to Console Directory Watch.
    
    [21.628] [    2.678435] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
    [21.643] [  OK  ] Started Forward Password Requests to Wall Directory Watch.
    
    [21.651] [    2.702221] systemd[1]: Expecting device /dev/ttyS2...
    [21.664]          Expecting device /dev/ttyS2...
    
    [21.669] [    2.718272] systemd[1]: Reached target Path Units.
    [21.680] [  OK  ] Reached target Path Units.
    
    [21.685] [    2.734185] systemd[1]: Reached target Remote File Systems.
    [21.696] [  OK  ] Reached target Remote File Systems.
    
    [21.703] [    2.754144] systemd[1]: Reached target Slice Units.
    [21.716] [  OK  ] Reached target Slice Units.
    
    [21.721] [    2.770168] systemd[1]: Reached target Swaps.
    [21.731] [  OK  ] Reached target Swaps.
    
    [21.736] [    2.788404] systemd[1]: Listening on Syslog Socket.
    [21.750] [  OK  ] Listening on Syslog Socket.
    
    [21.756] [    2.809707] systemd[1]: Listening on Process Core Dump Socket.
    [21.772] [  OK  ] Listening on Process Core Dump Socket.
    
    [21.779] [    2.830699] systemd[1]: Listening on initctl Compatibility Named Pipe.
    [21.794] [  OK  ] Listening on initctl Compatibility Named Pipe.
    
    [21.801] [    2.860920] systemd[1]: Journal Audit Socket was skipped because of an unmet condition check (ConditionSecurity=audit).
    [21.829] [    2.872765] systemd[1]: Listening on Journal Socket (/dev/log).
    [21.835] [  OK  ] Listening on Journal Socket (/dev/log).
    
    [21.842] [    2.894989] systemd[1]: Listening on Journal Socket.
    [21.857] [  OK  ] Listening on Journal Socket.
    
    [21.863] [    2.911119] systemd[1]: Listening on Network Service Netlink Socket.
    [21.874] [  OK  ] Listening on Network Service Netlink Socket.
    
    [21.881] [    2.935092] systemd[1]: Listening on udev Control Socket.
    [21.897] [  OK  ] Listening on udev Control Socket.
    
    [21.904] [    2.958789] systemd[1]: Listening on udev Kernel Socket.
    [21.921] [  OK  ] Listening on udev Kernel Socket.
    
    [21.928] [    2.978908] systemd[1]: Listening on User Database Manager Socket.
    [21.942] [  OK  ] Listening on User Database Manager Socket.
    
    [21.949] [    3.026574] systemd[1]: Mounting Huge Pages File System...
    [21.989]          Mounting Huge Pages File System...
    
    [21.994] [    3.046455] systemd[1]: Mounting POSIX Message Queue File System...
    [22.009]          Mounting POSIX Message Queue File System...
    
    [22.016] [    3.094841] systemd[1]: Mounting Kernel Debug File System...
    [22.057]          Mounting Kernel Debug File System...
    
    [22.063] [    3.122479] systemd[1]: Mounting Kernel Trace File System...
    [22.085]          Mounting Kernel Trace File System...
    
    [22.091] [    3.169741] systemd[1]: Mounting Temporary Directory /tmp...
    [22.132]          Mounting Temporary Directory /tmp...
    
    [22.138] [    3.195184] systemd[1]: Starting Create List of Static Device Nodes...
    [22.158]          Starting Create List of Static Device Nodes...
    
    [22.165] [    3.227478] systemd[1]: Starting Load Kernel Module configfs...
    [22.190]          Starting Load Kernel Module configfs...
    
    [22.196] [    3.251478] systemd[1]: Starting Load Kernel Module drm...
    [22.214]          Starting Load Kernel Module drm...
    
    [22.219] [    3.295132] systemd[1]: Starting Load Kernel Module fuse...
    [22.257]          Starting Load Kernel Module fuse...
    
    [22.265] [    3.334645] fuse: init (API version 7.39)
    [22.295] [    3.343052] systemd[1]: Starting Journal Service...
    [22.305]          Starting Journal Service...
    
    [22.312] [    3.369517] systemd[1]: Load Kernel Modules was skipped because no trigger condition checks were met.
    [22.335] [    3.395235] systemd[1]: Starting Generate network units from Kernel command line...
    [22.360]          Starting Generate network units from Kernel command line...
    
    [22.375] [    3.451688] systemd[1]: Starting Remount Root and Kernel File Systems...
    [22.415] [    3.459425] systemd-journald[98]: Collecting audit messages is disabled.
    [22.423]          Starting Remount Root and Kernel File Systems...
    
    [22.433] [    3.515612] systemd[1]: Starting Apply Kernel Variables...
    [22.478] [    3.535852] EXT4-fs (mmcblk1p2): re-mounted dd8b7318-d11a-4d67-b3b9-a3869236fe3f ro. Quota mode: none.
    [22.502]          Starting Apply Kernel Variables...
    
    [22.508] [    3.583682] systemd[1]: Starting Coldplug All udev Devices...
    [22.546]          Starting Coldplug All udev Devices...
    
    [22.554] [    3.609946] systemd[1]: Started Journal Service.
    [22.571] [  OK  ] Started Journal Service.
    
    [22.577] [  OK  ] Mounted Huge Pages File System.
    
    [22.597] [  OK  ] Mounted POSIX Message Queue File System.
    
    [22.618] [  OK  ] Mounted Kernel Debug File System.
    
    [22.639] [  OK  ] Mounted Kernel Trace File System.
    
    [22.655] [  OK  ] Mounted Temporary Directory /tmp.
    
    [22.670] [  OK  ] Finished Create List of Static Device Nodes.
    
    [22.688] [  OK  ] Finished Load Kernel Module configfs.
    
    [22.710] [  OK  ] Finished Load Kernel Module drm.
    
    [22.729] [  OK  ] Finished Load Kernel Module fuse.
    
    [22.753] [  OK  ] Finished Generate network units from Kernel command line.
    
    [22.776] [  OK  ] Finished Remount Root and Kernel File Systems.
    
    [22.793] [  OK  ] Finished Apply Kernel Variables.
    
    [22.803] [  OK  ] Reached target Preparation for Network.
    
    [22.856]          Mounting FUSE Control File System...
    
    [22.889]          Mounting Kernel Configuration File System...
    
    [22.923]          Starting Flush Journal to Persistent Storage...
    
    [22.978] \r
    [    4.040022] systemd-journald[98]: Received client request to flush runtime journal.
    [23.048]          Starting Create Static Device Nodes in /dev gracefully...
    
    [23.048] [  OK  ] Mounted FUSE Control File System.
    
    [23.054] [  OK  ] Mounted Kernel Configuration File System.
    
    [23.072] [  OK  ] Finished Flush Journal to Persistent Storage.
    
    [23.085] [  OK  ] Finished Create Static Device Nodes in /dev gracefully.
    
    [23.128]          Starting Create Static Device Nodes in /dev...
    
    [23.163] [  OK  ] Finished Coldplug All udev Devices.
    
    [23.190] [  OK  ] Finished Create Static Device Nodes in /dev.
    
    [23.221] [  OK  ] Reached target Preparation for Local File Systems.
    
    [23.241]          Mounting /var/volatile...
    
    [23.272]          Starting Rule-based Manager for Device Events and Files...
    
    [23.293] [  OK  ] Mounted /var/volatile.
    
    [23.313]          Starting Bind mount volatile /var/cache...
    
    [23.347]          Starting Bind mount volatile /var/lib...
    
    [23.375]          Starting Bind mount volatile /var/spool...
    
    [23.418]          Starting Bind mount volatile /srv...
    
    [23.447] [  OK  ] Finished Bind mount volatile /var/cache.
    
    [23.561] [  OK  ] Started Rule-based Manager for Device Events and Files.
    
    [23.580] [  OK  ] Finished Bind mount volatile /var/lib.
    
    [23.589] [  OK  ] Finished Bind mount volatile /var/spool.
    
    [23.608] [  OK  ] Finished Bind mount volatile /srv.
    
    [23.629] [  OK  ] Reached target Local File Systems.
    
    [23.667]          Starting Network Configuration...
    
    [23.721]          Starting Load/Save OS Random Seed...
    
    [23.749]          Starting Create Volatile Files and Directories...
    
    [23.801] [  OK  ] Found device /dev/ttyS2.
    
    [23.849]          Starting User Database Manager...
    
    [24.002] [  OK  ] Finished Create Volatile Files and Directories.
    
    [24.021]          Starting Network Name Resolution...
    
    [24.082]          Starting Network Time Synchronization...
    
    [24.158]          Starting Record System Boot/Shutdown in UTMP...
    
    [24.223] [  OK  ] Started User Database Manager.
    
    [24.365] [  OK  ] Finished Record System Boot/Shutdown in UTMP.
    
    [24.471] [    5.794152] random: crng init done
    [24.754] [  OK  ] Finished Load/Save OS Random Seed.
    
    [24.823] [    5.960670] cfg80211: Loading compiled-in X.509 certificates for regulatory database
    [24.925] [  OK  ] Started Network Time Synchronization.
    
    [24.935] [  OK  ] Reached target System Time Set.
    
    [24.954] [    6.020868] Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
    [24.983] [    6.031472] Loaded X.509 cert 'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600'
    [24.995] [    6.048113] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
    [25.013] [    6.066180] cfg80211: failed to load regulatory.db
    [25.028] [  OK  ] Started Network Name Resolution.
    
    [25.077] [  OK  ] Reached target Host and Network Name Lookups.
    
    [25.085] [  OK  ] Reached target System Initialization.
    
    [25.094] [  OK  ] Started Daily Cleanup of Temporary Directories.
    
    [25.111] [  OK  ] Reached target Timer Units.
    
    [25.125] [  OK  ] Listening on D-Bus System Message Bus Socket.
    
    [25.139] [  OK  ] Listening on dropbear.socket.
    
    [25.186] [  OK  ] Reached target Socket Units.
    
    [25.193] [  OK  ] Reached target Basic System.
    
    [25.205] [  OK  ] Started Kernel Logging Service.
    
    [25.241] [  OK  ] Started System Logging Service.
    
    [25.276]          Starting D-Bus System Message Bus...
    
    [25.317] [    6.366760] at24 0-0050: supply vcc not found, using dummy regulator
    [25.330] [    6.403654] at24 0-0050: 4096 byte 24c32 EEPROM, read-only
    [25.366] [    6.415447] mc: Linux media interface: v0.10
    [25.376]          Starting User Login Management...
    
    [25.401] [  OK  ] Started Network Configuration.
    
    [25.430] [  OK  ] Reached target Network.
    
    [25.438]          Starting Permit User Sessions...
    
    [25.480] [    6.549723] tidss 30200000.dss: failed to init OLDI: -517
    [25.512] [    6.581368] videodev: Linux video capture interface: v2.00
    [25.544] [  OK  ] Finished Permit User Sessions.
    
    [25.573] [    6.630310] tidss 30200000.dss: failed to init OLDI: -517
    [25.592] [  OK  ] Started Getty on tty1.
    
    [25.649] [    6.728941] imx219 1-0010: supply VANA not found, using dummy regulator
    [25.692] [  OK  ] Started Serial Getty on ttyS2.
    [    6.780077] rtc-ti-k3 2b1f0000.rtc: registered as rtc0
    [25.747] 
    [25.747] [  OK  ] Reached target Login Prompts.
    
    [25.758] [    6.809306] tidss 30200000.dss: failed to init OLDI: -517
    [25.771] [    6.814752] imx219 1-0010: Fixed dependency cycle(s) with /bus@f0000/ticsi2rx@30102000/csi-bridge@30101000
    [25.781] [    6.814851] platform 30101000.csi-bridge: Fixed dependency cycle(s) with /bus@f0000/i2c@20010000/camera@10
    [25.791] [    6.862345] rtc-ti-k3 2b1f0000.rtc: setting system clock to 1970-01-01T00:00:15 UTC (15)
    [25.827] [    6.872052] systemd-journald[98]: Time jumped backwards, rotating.
    [25.835]          Starting Synchronize System and HW clocks...
    
    [25.842] [  OK  ] Started D-Bus System Message Bus.
    
    [25.866] [    6.953305] imx219 1-0010: supply VDIG not found, using dummy regulator
    [25.917] [    6.970469] tidss 30200000.dss: failed to init OLDI: -517
    [25.933] [    6.991402] imx219 1-0010: supply VDDL not found, using dummy regulator
    [25.955] [    6.993371] platform 78000000.r5f: R5F core may have been powered on by a different host, programmed state (0) != actual state (1)
    [25.967] [  OK  ] Started User Login Management.
    
    [25.973] [    7.016746] tidss 30200000.dss: failed to init OLDI: -517
    [25.979] [    7.029909] tidss 30200000.dss: failed to init OLDI: -517
    [25.992] [  OK  ] Finished Synchronize System and HW clocks.
    
    [25.999] [    7.044286] tidss 30200000.dss: failed to init OLDI: -517
    [26.006] [  OK  ] Reached target Multi-User System.
    
    [26.024] [    7.073062] platform 78000000.r5f: configured R5F for IPC-only mode
    [26.036] [    7.114410] platform 78000000.r5f: assigned reserved memory node r5f-dma-memory@9da00000
    [26.084]          Starting Record Runlevel Change in UTMP...
    
    [26.099] [    7.164936] tidss 30200000.dss: failed to init OLDI: -517
    [26.127] [    7.176891] tidss 30200000.dss: failed to init OLDI: -517
    [26.139] [  OK  ] Finished Record Runlevel Change in [    7.197471] remoteproc remoteproc0: 78000000.r5f is available
    [26.165] UTMP.
    
    [26.166] [    7.225610] remoteproc remoteproc0: attaching to 78000000.r5f
    [26.188] [    7.264018] tidss 30200000.dss: failed to init OLDI: -517
    [26.226] [    7.277849] platform 78000000.r5f: R5F core initialized in IPC-only mode
    [26.241] [    7.299381] pvrsrvkm: loading out-of-tree module taints kernel.
    [26.262] [    7.304606] panel-simple display: supply power not found, using dummy regulator
    [26.269] [    7.315385] rproc-virtio rproc-virtio.4.auto: assigned reserved memory node r5f-dma-memory@9da00000
    [26.288] [    7.328003] [drm] Initialized tidss 1.0.0 20180215 for 30200000.dss on minor 0
    [26.292] [    7.343782] Console: switching to colour frame buffer device 160x50
    [26.337] [    7.351951] virtio_rpmsg_bus virtio0: rpmsg host is online
    [26.342] [    7.351997] rproc-virtio rproc-virtio.4.auto: registered virtio0 (type 7)
    [26.354] [    7.352004] remoteproc remoteproc0: remote processor 78000000.r5f is now attached
    [26.366] [    7.352343] virtio_rpmsg_bus virtio0: creating channel ti.ipc4.ping-pong addr 0xd
    [26.377] [    7.352451] virtio_rpmsg_bus virtio0: creating channel rpmsg_chrdev addr 0xe
    [26.387] [    7.411059] PVR_K:  166: Device: fd00000.gpu
    [26.394] [    7.420742] PVR_K:  166: Read BVNC 33.15.11.3 from HW device registers
    [26.401] [    7.420865] PVR_K:  166: RGX Device registered with BVNC 33.15.11.3
    [26.407] [    7.433219] [drm] Initialized pvr 24.1.6554834 20170530 for fd00000.gpu on minor 1
    [26.415] [    7.437504] tidss 30200000.dss: [drm] fb0: tidssdrmfb frame buffer device
    [26.422] [    7.638972] remoteproc remoteproc1: 30074000.pru is available
    [26.601] [    7.664844] remoteproc remoteproc2: 30078000.pru is available
    [26.627] [    7.727460] cdns-csi2rx 30101000.csi-bridge: Probed CSI2RX with 2/4 lanes, 4 streams, external D-PHY
    [26.693] [    7.996297] xhci-hcd xhci-hcd.5.auto: xHCI Host Controller
    [26.959] [    8.038186] xhci-hcd xhci-hcd.5.auto: new USB bus registered, assigned bus number 1
    [27.003] [    8.106493] xhci-hcd xhci-hcd.5.auto: USB3 root hub has no ports
    [27.069] [    8.129735] xhci-hcd xhci-hcd.5.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000008000000010
    [27.096] [    8.141304] xhci-hcd xhci-hcd.5.auto: irq 487, io mem 0x31000000
    [27.106] [    8.149469] hub 1-0:1.0: USB hub found
    [27.110] [    8.153564] hub 1-0:1.0: 1 port detected
    [27.114] [    8.158958] xhci-hcd xhci-hcd.6.auto: xHCI Host Controller
    [27.121] [    8.164582] xhci-hcd xhci-hcd.6.auto: new USB bus registered, assigned bus number 2
    [27.129] [    8.172541] xhci-hcd xhci-hcd.6.auto: USB3 root hub has no ports
    [27.135] [    8.178568] xhci-hcd xhci-hcd.6.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000008000000010
    [27.145] [    8.188112] xhci-hcd xhci-hcd.6.auto: irq 488, io mem 0x31100000
    [27.151] [    8.195318] hub 2-0:1.0: USB hub found
    [27.156] [    8.199251] hub 2-0:1.0: 1 port detected
    [27.160] 
    
    [27.255]  _____                    _____           _         _   
    [27.260] |  _  |___ ___ ___ ___   |  _  |___ ___  |_|___ ___| |_ 
    [27.265] |     |  _| .'| . | . |  |   __|  _| . | | | -_|  _|  _|
    [27.270] |__|__|_| |__,|_  |___|  |__|  |_| |___|_| |___|___|_|  
    [27.275]               |___|                    |___|            
    [27.280] 
    [27.280] Arago Project mitysom-am62x ttyS2
    [27.283] 
    [27.283] Arago 2023.10 mitysom-am62x ttyS2
    [27.286] 
    [27.286] mitysom-am62x login:root\r
    \r
     root
    
    [27.412] 
    [27.412] [    8.418125] usb 1-1: new high-speed USB device number 2 using xhci-hcd
    [27.412] [    8.578537] usb-storage 1-1:1.0: USB Mass Storage device detected
    [27.541] [    8.586194] scsi host0: usb-storage 1-1:1.0
    [27.547] [    9.094131] usb 2-1: new high-speed USB device number 2 using xhci-hcd
    [28.058] 7[    9.251673] usb-storage 2-1:1.0: USB Mass Storage device detected
    [28.214] [    9.260408] scsi host1: usb-storage 2-1:1.0
    [28.221] [    9.618976] scsi 0:0:0:0: Direct-Access     SanDisk  Cruzer Blade     1.00 PQ: 0 ANSI: 6
    [28.584] [    9.635575] sd 0:0:0:0: [sda] 30629376 512-byte logical blocks: (15.7 GB/14.6 GiB)
    [28.600] [    9.645654] sd 0:0:0:0: [sda] Write Protect is off
    [28.607] [    9.651079] sd 0:0:0:0: [sda] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [28.617] [    9.667630]  sda: sda1
    [28.627] [    9.670695] sd 0:0:0:0: [sda] Attached SCSI removable disk
    [28.633] [   10.291128] scsi 1:0:0:0: Direct-Access     SanDisk  Cruzer Blade     1.00 PQ: 0 ANSI: 6
    [29.256] [   10.314346] sd 1:0:0:0: [sdb] 15630336 512-byte logical blocks: (8.00 GB/7.45 GiB)
    [29.279] [   10.323156] sd 1:0:0:0: [sdb] Write Protect is off
    [29.285] [   10.328679] sd 1:0:0:0: [sdb] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [29.294] [   10.344999]  sdb: sdb1
    [29.304] [   10.348107] sd 1:0:0:0: [sdb] Attached SCSI removable disk
    [29.310] root@mitysom-am62x:~#date 082902522024.50\r
    \r
     date 082902522024.50
    [31.302] Thu Aug 29 02:52:50 UTC 2024
    [31.302] root@mitysom-am62x:~#cd /home/root/test_fixture\r
     
    [31.488] root@mitysom-am62x:~#./run_test_am62x.sh "6252-TX-XXD-RI" "23026345" "80-001632RI-3A" "C4:FF:BC:71:27:7C" "23-07-09" \r
     cd /home/root/test_fixture
    [32.048] root@mitysom-am62x:/home/root/test_fixture# ./run_test_am62x.sh "6252-TX-XXD-RI" 
     "23026345" "80-001632RI-3A" "C4:FF:BC:71:27:7C" "23-07-09" 
    [32.048] 
    [32.053] TESTFIXTURE:Starting MitySOM-AM62x device tests...
    [32.057] 
    [32.058] 
    [32.058] TESTFIXTURE:Test script version: 7 (03/26/2024)
    [32.062] 
    [32.062] 
    [32.062] TESTFIXTURE:Thu Aug 29 02:52:50 UTC 2024
    [32.066] 
    [32.066] 
    [32.066] Build Info:
    [32.068] Out-of-Jenkins build, 08/23/2024_16:17:40
    [32.071] Setup HDMI test pattern early
    [32.074] Opened /dev/fb0 [tidssdrmfb]
    [32.103] Framebuffer mapped at 0xffff88f38000 [1280 x 800 32bpp = 4096000 bytes]
    [32.109] finf.line_length: 5120
    [32.111] finf.smem_len: 4096000
    [32.113] vinf.xres: 1280 y: 800
    [32.115] vinf.xres_virtual: 1280 y: 800
    [32.118] vinf.xoffset: 0 y: 0
    [32.120] vinf.bits_per_pixel: 32
    [32.122] vinf.grayscale: 0
    [32.124] vinf.red.offset: 16 length: 8 msb_right: 0
    [32.128] vinf.green.offset: 8 length: 8 msb_right: 0
    [32.132] vinf.blue.offset: 0 length: 8 msb_right: 0
    [32.135] vinf.transp.offset: 0 length: 0 msb_right: 0
    [32.139] Unblanking display
    [32.141] Turning off cursor blink
    [32.143] Running 1 tests...
    [32.145] Running test: vbars [ Vertical Bars ]
    [32.149] vbars: from left blue, green, then red
    [32.152] Finished
    [32.153] 
    [32.153] +-------------------------------------------------------------------------------
    [32.160] | Factory Config Test
    [32.162] +-------------------------------------------------------------------------------
    [32.169] 
    [32.169] TESTFIXTURE:Factory Config Test
    [32.172] 
    [32.173] Magic   = 012C0138
    [32.174] Version = 1.02
    [32.241] Model Number  = 6252-TX-XXD-RI
    [32.656] Serial Number = 23026345
    [32.658] MAC Address   = C4:FF:BC:71:27:7C
    [32.661] Part Number   = 80-001632RI-3A
    [32.761] Date Code     = 23-07-09
    [32.864] 
    [32.864] +-------------------------------------------------------------------------------
    [32.871] | Power Test
    [32.872] +-------------------------------------------------------------------------------
    [32.879] 
    [32.880] TESTFIXTURE:Power Test
    [32.882] 
    [32.882] Testing HW Monitor
    [32.887] Sensor: /sys/class/hwmon/hwmon0/in1_input
    [32.891] Label: Power Monitor mVolts
    [32.893] Low Limit: 3200
    [32.895] High Limit: 3500
    [32.896] Current Value: 3275
    [32.898] PASSED
    [32.899] Testing HW Monitor
    [32.916] Sensor: /sys/class/hwmon/hwmon0/power1_input
    [32.920] Label: Power Monitor uWatts
    [32.922] Low Limit: 1000000
    [32.924] High Limit: 2500000
    [32.926] Current Value: 1461469
    [32.928] PASSED
    [32.928] 
    [32.929] +-------------------------------------------------------------------------------
    [32.936] | RAM Memory Test
    [32.937] +-------------------------------------------------------------------------------
    [32.945] 
    [32.945] TESTFIXTURE:RAM Memory Test
    [32.947] 
    [32.947] memtester version 4.3.0_cl (64-bit)
    [32.951] Copyright (C) 2001-2012 Charles Cazabon.
    [32.954] Licensed under the GNU General Public License version 2 (only).
    [32.960] 
    [32.960] pagesize is 4096
    [32.962] pagesizemask is 0xfffffffffffff000
    [32.965] using testmask 0x80000
    [32.967] want 1040MB (1090519040 bytes)
    [32.970] got  1040MB (1090519040 bytes), trying mlock ...locked.
    [33.524] Loop 1/1:
    [33.524]   Stuck Address       :            setting   0testing   0setting   1testing   1[   40.418011] rcu: INFO: rcu_preempt self-detected stall on CPU
    [59.380] [   40.423786] rcu: 	0-....: (6069 ticks this GP) idle=c69c/1/0x4000000000000000 softirq=6501/6503 fqs=1864
    [59.390] [   40.433251] rcu: 	(t=5250 jiffies g=3125 q=1323 ncpus=2)
    [59.395] [   40.438555] CPU: 0 PID: 320 Comm: memtester Tainted: G           O       6.6.32-g-g29c357e56d90 #1
    [59.404] [   40.447496] Hardware name: Critical Link MitySOM-AM62x (DT)
    [59.410] [   40.453053] pstate: 80000005 (Nzcv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
    [59.422] [   40.460000] pc : _raw_spin_unlock_irq+0x10/0x58
    [59.422] [   40.464532] lr : __run_timers+0x170/0x338
    [59.425] [   40.468537] sp : ffff800080003e50
    [59.428] [   40.471838] x29: ffff800080003ed0 x28: ffff800080077600 x27: ffff800080003e80
    [59.435] [   40.478966] x26: ffff800081478008 x25: 00000000ffffffff x24: 0000000000000100
    [59.443] [   40.486090] x23: 0000000000000001 x22: 0000000000000002 x21: 0000000000000001
    [59.450] [   40.493213] x20: ffff000077b81540 x19: ffff8000819b1178 x18: 0000000000000000
    [59.457] [   40.500336] x17: ffff7ffff6707000 x16: ffff800080000000 x15: 0000000000000000
    [59.464] [   40.507460] x14: 0000000000000000 x13: 0000000000000000 x12: 0000000000000000
    [59.471] [   40.514583] x11: 0000000000000040 x10: 0000000000000002 x9 : ffff80008010a908
    [59.478] [   40.521706] x8 : 0000000000000400 x7 : 000000033c1b323c x6 : 0000000000000800
    [59.485] [   40.528829] x5 : ffffffff2f11b800 x4 : 0000000000000000 x3 : 0000000000000001
    [59.492] [   40.535954] x2 : 0000000000000000 x1 : 00000000fffeecc1 x0 : ffff000077b81540
    [59.500] [   40.543078] Call trace:
    [59.502] [   40.545515]  _raw_spin_unlock_irq+0x10/0x58
    [59.506] [   40.549688]  run_timer_softirq+0x28/0x50
    [59.510] [   40.553603]  __do_softirq+0x120/0x394
    [59.514] [   40.557257]  ____do_softirq+0x18/0x30
    [59.517] [   40.560910]  call_on_irq_stack+0x24/0x58
    [59.521] [   40.564824]  do_softirq_own_stack+0x24/0x38
    [59.526] [   40.568996]  irq_exit_rcu+0xc0/0xe8
    [59.529] [   40.572479]  el0_interrupt+0x54/0xd8
    [59.533] [   40.576047]  __el0_irq_handler_common+0x18/0x28
    [59.537] [   40.580567]  el0t_64_irq_handler+0x10/0x20
    [59.541] [   40.584653]  el0t_64_irq+0x190/0x198
    [59.545] 
    [77.949] 
    [77.949] [TIMEOUT]
    [77.949]  sleep 3;  reboot\r
    ARDUINO POW OFF\r
    ^C sleep 3;  reboot
    [78.197] ARDUINO POW OFF
    [78.197] ARDUINO RESP: Turned off power to SOM
    

    Connection-6252-TX-XXD-RI-23026345-2024-08-29-05-43-03.log
    ARDUINO INIT\r
    ARDUINO INIT
    [0.130] ARDUINO RESP: Initialized output pins
    ARDUINO POW OFF\r
    [0.254] 
    [0.254] ARDUINO POW OFF
    [0.254] ARDUINO RESP: Turned off power to SOM
    ARDUINO POW ON\r
    [1.393] 
    [1.393] ARDUINO POW ON
    [1.393] ARDUINO RESP: Turned on power to SOM (VSEL = 3V3)
    [1.394] 
    [1.394] 
    [1.955] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [1.960] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [2.003] Configuring DDR4 for 2GB
    [2.029] SPL initial stack usage: 13392 bytes
    [2.049] Trying to boot from MMC2
    [2.069] Authentication passed
    [2.164] Authentication passed
    [2.170] Authentication passed
    [2.175] Authentication passed
    [2.209] Authentication passed
    [2.215] Starting ATF on ARM64 core...
    [2.219] 
    [2.219] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [2.227] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [2.231] 
    [2.620] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [2.626] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [2.724] SPL initial stack usage: 1904 bytes
    [2.766] Trying to boot from MMC2
    [2.768] Authentication passed
    [2.893] Authentication passed
    [2.900] 
    [3.685] 
    [3.685] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [3.691] 
    [3.691] SoC:   AM62X SR1.0 HS-FS
    [3.693] Model: Critical Link MitySOM-AM62x
    [3.696] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [3.822] DRAM:  2 GiB
    [3.908] Core:  101 devices, 31 uclasses, devicetree: separate
    [3.967] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [3.983] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [4.055] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [4.100] *** Warning - No block device, using default environment
    [4.105] 
    [4.106] In:    serial@2800000
    [4.113] Out:   serial@2800000
    [4.116] Err:   serial@2800000
    [4.118] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [4.174] Hit any key to stop autoboot\r
    :  2  0 
    [4.233] =>md.l 0x43000030 1\r
    md.l 0x43000030 1
    [4.466] 43000030: 00000243                             C...
    ARDUINO MEAS 1V8\r
    ARDUINO MEAS 1V8
    [4.702] ARDUINO RESP: 1759.04 mV
    Test: 1700 <= 1759.04 <= 1900: OK
    [4.702] ARDUINO READ RESETSTAT\r
    ARDUINO READ RESETSTAT
    [4.933] ARDUINO RESP: 0
    ARDUINO READ POR_OUT\r
    ARDUINO READ POR_OUT
    [5.172] ARDUINO RESP: 0
    ARDUINO READ SOM_PRESENT\r
    ARDUINO READ SOM_PRESENT
    [5.463] ARDUINO RESP: 1
    i2c dev 0\r
    [5.525] 
    [5.525] i2c dev 0
    [5.525] Setting bus to 0
    [5.525] =>i2c mw 0x30 0x33 0xff\r
     i2c mw 0x30 0x33 0xff
    [5.650] =>i2c md 0x30 0x33 1\r
    i2c md 0x30 0x33 1
    [5.881] 0033: 04    .
    [5.881] =>ARDUINO WRITE PMIC_PB 1\r
     ARDUINO WRITE PMIC_PB 1
    [6.007] ARDUINO RESP:i2c md 0x30 0x33 1\r
    i2c md 0x30 0x33 1
    [6.441] 0033: 01    .
    ARDUINO WRITE PMIC_PB 0\r
    [6.566] => ARDUINO WRITE PMIC_PB 0
    [6.566] ARDUINO RESP:i2c mw 0x30 0x33 0xff\r
     0
    [6.690] 
    [6.690] i2c mw 0x30 0x33 0xff
    [6.690] =>i2c mw 0x30 0x1e 0x7\r
     i2c mw 0x30 0x1e 0x7
    [6.814] =>ARDUINO READ PMIC_GPIOn\r
    ARDUINO READ PMIC_GPIOn
    [7.048] ARDUINO RESP: 0
    i2c mw 0x30 0x1e 0x6\r
    [7.173] 
    [7.173] i2c mw 0x30 0x1e 0x6
    [7.173] =>ARDUINO READ PMIC_GPIOn\r
    ARDUINO READ PMIC_GPIOn
    [7.406] ARDUINO RESP: 1
    ARDUINO PULSE RESET_REQ 1\r
    [7.591] 
    [7.591] ARDUINO PULSE RESET_REQ 1
    [7.631] ARDUINO RESP: Done
    [7.632] 
    [7.632] 
    [8.215] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [8.221] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [8.264] Configuring DDR4 for 2GB
    [8.289] SPL initial stack usage: 13392 bytes
    [8.309] Trying to boot from MMC2
    [8.330] Authentication passed
    [8.424] Authentication passed
    [8.431] Authentication passed
    [8.435] Authentication passed
    [8.470] Authentication passed
    [8.476] Starting ATF on ARM64 core...
    [8.481] 
    [8.481] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [8.487] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [8.492] 
    [8.881] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [8.886] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [8.985] SPL initial stack usage: 1904 bytes
    [9.026] Trying to boot from MMC2
    [9.029] Authentication passed
    [9.153] Authentication passed
    [9.162] 
    [9.945] 
    [9.946] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [9.951] 
    [9.951] SoC:   AM62X SR1.0 HS-FS
    [9.954] Model: Critical Link MitySOM-AM62x
    [9.957] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [10.082] DRAM:  2 GiB
    [10.168] Core:  101 devices, 31 uclasses, devicetree: separate
    [10.228] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [10.244] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [10.315] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [10.360] *** Warning - No block device, using default environment
    [10.365] 
    [10.366] In:    serial@2800000
    [10.374] Out:   serial@2800000
    [10.376] Err:   serial@2800000
    [10.378] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [10.434] Hit any key to stop autoboot\r
    :  2  0 
    [10.498] =>ARDUINO PULSE MCU_RESET 1\r
     ARDUINO PULSE MCU_RESET 1
    [10.725] ARDUINO RESP: Done
    [10.725] 
    [10.725] 
    [11.309] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [11.315] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [11.358] Configuring DDR4 for 2GB
    [11.383] SPL initial stack usage: 13392 bytes
    [11.403] Trying to boot from MMC2
    [11.424] Authentication passed
    [11.519] Authentication passed
    [11.525] Authentication passed
    [11.530] Authentication passed
    [11.564] Authentication passed
    [11.570] Starting ATF on ARM64 core...
    [11.575] 
    [11.575] NOTICE:  BL31: v2.10.0(release):v2.10.0-367-g00f1ec6b87-dirty
    [11.582] NOTICE:  BL31: Built : 16:09:05, Feb  9 2024
    [11.586] 
    [11.975] U-Boot SPL 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [11.981] SYSFW ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [12.079] SPL initial stack usage: 1904 bytes
    [12.121] Trying to boot from MMC2
    [12.123] Authentication passed
    [12.247] Authentication passed
    [12.256] 
    [13.040] 
    [13.040] U-Boot 2024.04-ti-gbe291692149c (Aug 21 2024 - 19:01:53 +0000)
    [13.045] 
    [13.045] SoC:   AM62X SR1.0 HS-FS
    [13.048] Model: Critical Link MitySOM-AM62x
    [13.051] MitySOM-62x - Model No: 6252-TX-XXD-RI Serial No: 23026345 Part Number: 80-001632RI-3A
    [13.176] DRAM:  2 GiB
    [13.262] Core:  101 devices, 31 uclasses, devicetree: separate
    [13.322] MMC:   mmc@fa10000: 0, mmc@fa00000: 1
    [13.338] Loading Environment from FAT... Unable to read "uboot.env" from mmc1:1... 
    [13.409] Loading Environment from MMC... Card did not respond to voltage select! : -110
    [13.455] *** Warning - No block device, using default environment
    [13.459] 
    [13.459] In:    serial@2800000
    [13.468] Out:   serial@2800000
    [13.470] Err:   serial@2800000
    [13.472] Net:   eth0: ethernet@8000000port@1, eth1: ethernet@8000000port@2
    [13.528] Hit any key to stop autoboot\r
    :  2  0 
    [13.590] =>i2c dev 1; i2c mw 0x38 8 0xbf; i2c mw 0x39 8 0xbf\r
     i2c dev 1; i2c mw 0x38 8 0xbf; i2c mw 0x39 8 0xbf
    [13.900] Setting bus to 1
    [13.900] =>if test $board_name = mitysom-62ax; then setenv platform am62a7; fi\r
     if test $board_name = mitysom-62ax; then setenv platform am62a7; fi
    [14.271] =>if test $board_name = mitysom-62px; then setenv platform am62p5; fi\r
     if test $board_name = mitysom-62px; then setenv platform am62p5; fi
    [14.645] =>if test $board_name = mitysom-62x; then setenv platform am62x; fi\r
     if test $board_name = mitysom-62x; then setenv platform am62x; fi
    [15.019] =>print platform\r
     print platform
    [15.143] platform=am62x
    [15.143] =>setenv boot_fit 0; setenv default_device_tree ti/k3-${platform}-mitysom-testfixture.dtb; setenv fdtfile ti/k3-${platform}-mitysom-testfixture.dtb; setenv name_overlays ''\r
     setenv boot_fit 0; setenv default_device_tree ti/k3-${platform}-mitysom-testfixture.dtb; setenv fdtfile ti/k3-${platform}-mitysom-testfixture.dtb; setenv name_overlays ''
    [16.077] =>setenv optargs audit=0\r
     setenv optargs audit=0
    [16.201] =>mw.b ${loadaddr} 0 1; fatwrite mmc 1:1 ${loadaddr} .psdk_setup 1;\r
     mw.b ${loadaddr} 0 1; fatwrite mmc 1:1 ${loadaddr} .psdk_setup 1;
    [16.575] 1 bytes written in 4 ms (0 Bytes/s)
    [16.576] =>boot\r
     boot
    [16.636] switch to partitions #0, OK
    [16.638] mmc1 is current device
    [16.639] SD/MMC found on device 1
    [16.699] 574 bytes read in 29 ms (18.6 KiB/s)
    [16.732] Loaded env from uEnv.txt
    [16.735] Importing environment from mmc1 ...
    [16.738] 27734528 bytes read in 1115 ms (23.7 MiB/s)
    [17.861] 64042 bytes read in 34 ms (1.8 MiB/s)
    [17.902] Working FDT set to 88000000
    [17.905] ## Flattened Device Tree blob at 88000000
    [17.909]    Booting using the fdt blob at 0x88000000
    [17.912] Working FDT set to 88000000
    [17.916]    Loading Device Tree to 000000008feed000, end 000000008fffffff ... OK
    [17.949] Working FDT set to 8feed000
    [17.952] 
    [17.975] Starting kernel ...
    [17.977] 
    [17.977] [    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
    [18.022] [    0.000000] Linux version 6.6.32-g-g29c357e56d90 (oe-user@oe-host) (aarch64-oe-linux-gcc (GCC) 13.3.0, GNU ld (GNU Binutils) 2.42.0.20240716) #1 SMP PREEMPT Fri Aug 23 16:11:00 UTC 2024
    [18.038] [    0.000000] KASLR disabled due to lack of seed
    [18.042] [    0.000000] Machine model: Critical Link MitySOM-AM62x
    [18.047] [    0.000000] earlycon: ns16550a0 at MMIO32 0x0000000002800000 (options '')
    [18.054] [    0.000000] printk: bootconsole [ns16550a0] enabled
    [18.059] [    0.000000] efi: UEFI not found.
    [18.063] [    0.000000] Reserved memory: created CMA memory pool at 0x00000000f8000000, size 128 MiB
    [18.072] [    0.000000] OF: reserved mem: initialized node linux,cma, compatible id shared-dma-pool
    [18.080] [    0.000000] OF: reserved mem: 0x00000000f8000000..0x00000000ffffffff (131072 KiB) map reusable linux,cma
    [18.090] [    0.000000] OF: reserved mem: 0x0000000080000000..0x000000008007ffff (512 KiB) nomap non-reusable tfa@80000000
    [18.100] [    0.000000] OF: reserved mem: 0x000000009c700000..0x000000009c7fffff (1024 KiB) map non-reusable ramoops@9c700000
    [18.111] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009c800000, size 3 MiB
    [18.119] [    0.000000] OF: reserved mem: initialized node ipc-memories@9c800000, compatible id shared-dma-pool
    [18.129] [    0.000000] OF: reserved mem: 0x000000009c800000..0x000000009cafffff (3072 KiB) nomap non-reusable ipc-memories@9c800000
    [18.140] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009cb00000, size 1 MiB
    [18.147] [    0.000000] OF: reserved mem: initialized node m4f-dma-memory@9cb00000, compatible id shared-dma-pool
    [18.157] [    0.000000] OF: reserved mem: 0x000000009cb00000..0x000000009cbfffff (1024 KiB) nomap non-reusable m4f-dma-memory@9cb00000
    [18.168] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009cc00000, size 14 MiB
    [18.176] [    0.000000] OF: reserved mem: initialized node m4f-memory@9cc00000, compatible id shared-dma-pool
    [18.185] [    0.000000] OF: reserved mem: 0x000000009cc00000..0x000000009d9fffff (14336 KiB) nomap non-reusable m4f-memory@9cc00000
    [18.200] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009da00000, size 1 MiB
    [18.204] [    0.000000] OF: reserved mem: initialized node r5f-dma-memory@9da00000, compatible id shared-dma-pool
    [18.213] [    0.000000] OF: reserved mem: 0x000000009da00000..0x000000009dafffff (1024 KiB) nomap non-reusable r5f-dma-memory@9da00000
    [18.225] [    0.000000] Reserved memory: created DMA memory pool at 0x000000009db00000, size 12 MiB
    [18.233] [    0.000000] OF: reserved mem: initialized node r5f-memory@9db00000, compatible id shared-dma-pool
    [18.242] [    0.000000] OF: reserved mem: 0x000000009db00000..0x000000009e6fffff (12288 KiB) nomap non-reusable r5f-memory@9db00000
    [18.253] [    0.000000] OF: reserved mem: 0x000000009e800000..0x000000009fffffff (24576 KiB) nomap non-reusable optee@9e800000
    [18.264] [    0.000000] Zone ranges:
    [18.311] [    0.000000]   DMA      [mem 0x0000000080000000-0x00000000ffffffff]
    [18.318] [    0.000000]   DMA32    empty
    [18.320] [    0.000000]   Normal   empty
    [18.323] [    0.000000] Movable zone start for each node
    [18.328] [    0.000000] Early memory node ranges
    [18.331] [    0.000000]   node   0: [mem 0x0000000080000000-0x000000008007ffff]
    [18.338] [    0.000000]   node   0: [mem 0x0000000080080000-0x000000009c7fffff]
    [18.344] [    0.000000]   node   0: [mem 0x000000009c800000-0x000000009e6fffff]
    [18.351] [    0.000000]   node   0: [mem 0x000000009e700000-0x000000009e7fffff]
    [18.357] [    0.000000]   node   0: [mem 0x000000009e800000-0x000000009fffffff]
    [18.364] [    0.000000]   node   0: [mem 0x00000000a0000000-0x00000000ffffffff]
    [18.370] [    0.000000] Initmem setup node 0 [mem 0x0000000080000000-0x00000000ffffffff]
    [18.377] [    0.000000] psci: probing for conduit method from DT.
    [18.397] [    0.000000] psci: PSCIv1.1 detected in firmware.
    [18.402] [    0.000000] psci: Using standard PSCI v0.2 function IDs
    [18.407] [    0.000000] psci: Trusted OS migration not required
    [18.412] [    0.000000] psci: SMC Calling Convention v1.4
    [18.417] [    0.000000] percpu: Embedded 29 pages/cpu s81128 r8192 d29464 u118784
    [18.424] [    0.000000] Detected VIPT I-cache on CPU0
    [18.428] [    0.000000] CPU features: detected: GIC system register CPU interface
    [18.434] [    0.000000] CPU features: detected: ARM erratum 845719
    [18.440] [    0.000000] alternatives: applying boot alternatives
    [18.445] [    0.000000] Kernel command line: console=ttyS2,115200n8 audit=0 earlycon=ns16550a,mmio32,0x02800000 mtdparts=spi-nand0:512k(ospi_nand.tiboot3),2m(ospi_nand.tispl),4m(ospi_nand.u-boot),256k(ospi_nand.env),256k(ospi_nand.env.backup),98048k@32m(ospi_nand.rootfs),256k@130816k(ospi_nand.phypattern) root=PARTUUID=076c4a2a-02 rw rootfstype=ext4 rootwait
    [18.476] [    0.000000] audit: disabled (until reboot)
    [18.481] [    0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
    [18.490] [    0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
    [18.498] [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 516096
    [18.505] [    0.000000] mem auto-init: stack:all(zero), heap alloc:off, heap free:off
    [18.512] [    0.000000] software IO TLB: area num 2.
    [18.517] [    0.000000] software IO TLB: mapped [mem 0x00000000f1800000-0x00000000f5800000] (64MB)
    [18.589] [    0.000000] Memory: 1773904K/2097152K available (14720K kernel code, 2252K rwdata, 4936K rodata, 5056K init, 453K bss, 192176K reserved, 131072K cma-reserved)
    [18.652] [    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=2, Nodes=1
    [18.659] [    0.000000] ftrace: allocating 47951 entries in 188 pages
    [18.663] [    0.000000] ftrace: allocated 188 pages with 5 groups
    [18.773] [    0.000000] trace event string verifier disabled
    [18.778] [    0.000000] rcu: Preemptible hierarchical RCU implementation.
    [18.789] [    0.000000] rcu: 	RCU event tracing is enabled.
    [18.789] [    0.000000] rcu: 	RCU restricting CPUs from NR_CPUS=256 to nr_cpu_ids=2.
    [18.795] [    0.000000] 	Trampoline variant of Tasks RCU enabled.
    [18.800] [    0.000000] 	Rude variant of Tasks RCU enabled.
    [18.805] [    0.000000] 	Tracing variant of Tasks RCU enabled.
    [18.810] [    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
    [18.818] [    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
    [18.825] [    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
    [18.838] [    0.000000] GICv3: GIC: Using split EOI/Deactivate mode
    [18.844] [    0.000000] GICv3: 256 SPIs implemented
    [18.848] [    0.000000] GICv3: 0 Extended SPIs implemented
    [18.852] [    0.000000] Root IRQ handler: gic_handle_irq
    [18.857] [    0.000000] GICv3: GICv3 features: 16 PPIs
    [18.861] [    0.000000] GICv3: CPU0: found redistributor 0 region 0:0x0000000001880000
    [18.868] [    0.000000] ITS [mem 0x01820000-0x0182ffff]
    [18.874] [    0.000000] GIC: enabling workaround for ITS: Socionext Synquacer pre-ITS
    [18.880] [    0.000000] ITS@0x0000000001820000: Devices Table too large, reduce ids 20->19
    [18.887] [    0.000000] ITS@0x0000000001820000: allocated 524288 Devices @80c00000 (flat, esz 8, psz 64K, shr 0)
    [18.899] [    0.000000] ITS: using cache flushing for cmd queue
    [18.904] [    0.000000] GICv3: using LPI property table @0x0000000080590000
    [18.911] [    0.000000] GIC: using cache flushing for LPI property table
    [18.917] [    0.000000] GICv3: CPU0: using allocated LPI pending table @0x00000000805a0000
    [18.924] [    0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention.
    [18.930] [    0.000000] arch_timer: cp15 timer(s) running at 200.00MHz (phys).
    [18.937] [    0.000000] clocksource: arch_sys_counter: mask: 0x3ffffffffffffff max_cycles: 0x2e2049d3e8, max_idle_ns: 440795210634 ns
    [18.949] [    0.000000] sched_clock: 58 bits at 200MHz, resolution 5ns, wraps every 4398046511102ns
    [18.956] [    0.008659] Console: colour dummy device 80x25
    [18.962] [    0.013268] Calibrating delay loop (skipped), value calculated using timer frequency.. 400.00 BogoMIPS (lpj=800000)
    [18.972] [    0.023958] pid_max: default: 32768 minimum: 301
    [18.977] [    0.028781] LSM: initializing lsm=capability,integrity
    [18.982] [    0.034172] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
    [19.008] [    0.041763] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
    [19.008] [    0.051973] RCU Tasks: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.008] [    0.059323] RCU Tasks Rude: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.015] [    0.067066] RCU Tasks Trace: Setting shift to 1 and lim to 1 rcu_task_cb_adjust=1.
    [19.023] [    0.075070] rcu: Hierarchical SRCU implementation.
    [19.029] [    0.079978] rcu: 	Max phase no-delay instances is 1000.
    [19.035] [    0.085649] Platform MSI: msi-controller@1820000 domain created
    [19.041] [    0.092014] PCI/MSI: /bus@f0000/interrupt-controller@1800000/msi-controller@1820000 domain created
    [19.050] [    0.101510] EFI services will not be available.
    [19.055] [    0.106421] smp: Bringing up secondary CPUs ...
    [19.060] [    0.111872] Detected VIPT I-cache on CPU1
    [19.065] [    0.111980] GICv3: CPU1: found redistributor 1 region 0:0x00000000018a0000
    [19.073] [    0.112000] GICv3: CPU1: using allocated LPI pending table @0x00000000805b0000
    [19.080] [    0.112066] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
    [19.086] [    0.112227] smp: Brought up 1 node, 2 CPUs
    [19.090] [    0.141639] SMP: Total of 2 processors activated.
    [19.095] [    0.146456] CPU features: detected: 32-bit EL0 Support
    [19.100] [    0.151737] CPU features: detected: CRC32 instructions
    [19.105] [    0.157072] CPU: All CPU(s) started at EL2
    [19.110] [    0.161276] alternatives: applying system-wide alternatives
    [19.116] [    0.169242] devtmpfs: initialized
    [19.122] [    0.183070] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
    [19.142] [    0.193076] futex hash table entries: 512 (order: 3, 32768 bytes, linear)
    [19.148] [    0.204829] pinctrl core: initialized pinctrl subsystem
    [19.159] [    0.210892] DMI not present or invalid.
    [19.164] [    0.215627] NET: Registered PF_NETLINK/PF_ROUTE protocol family
    [19.171] [    0.222782] DMA: preallocated 256 KiB GFP_KERNEL pool for atomic allocations
    [19.178] [    0.230139] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations
    [19.187] [    0.238198] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
    [19.210] [    0.246963] thermal_sys: Registered thermal governor 'step_wise'
    [19.210] [    0.246971] thermal_sys: Registered thermal governor 'power_allocator'
    [19.210] [    0.253167] cpuidle: using governor menu
    [19.212] [    0.264039] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
    [19.219] [    0.271052] ASID allocator initialised with 65536 entries
    [19.225] [    0.283641] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu-system-default-pins
    [19.244] [    0.295798] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/jtag-default-pins
    [19.257] [    0.307377] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu-gpio0-loopback-default-pins
    [19.268] [    0.320200] platform 4084000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/bus@4000000/pinctrl@4084000/mcu_system_pins_default
    [19.281] [    0.336536] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/main-system-default-pins
    [19.296] [    0.347333] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/gpio1-loopback-default-pins
    [19.308] [    0.358376] platform f4000.pinctrl: Fixed dependency cycle(s) with /bus@f0000/pinctrl@f4000/gpio0-loopback-default-pins
    [19.318] [    0.372649] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@1
    [19.332] [    0.382569] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@0
    [19.342] [    0.396044] platform display: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@1
    [19.355] [    0.405540] platform display: Fixed dependency cycle(s) with /bus@f0000/dss@30200000/oldi-txes/oldi@0
    [19.364] [    0.415386] Modules: 25872 pages in range for non-PLT usage
    [19.369] [    0.415395] Modules: 517392 pages in range for PLT usage
    [19.376] [    0.422192] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages
    [19.383] [    0.434623] HugeTLB: 0 KiB vmemmap can be freed for a 1.00 GiB page
    [19.389] [    0.441039] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages
    [19.396] [    0.447981] HugeTLB: 0 KiB vmemmap can be freed for a 32.0 MiB page
    [19.407] [    0.454393] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages
    [19.410] [    0.461334] HugeTLB: 0 KiB vmemmap can be freed for a 2.00 MiB page
    [19.416] [    0.467745] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages
    [19.423] [    0.474688] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page
    [19.429] [    0.483110] k3-chipinfo 43000014.chipid: Family:AM62X rev:SR1.0 JTAGID[0x0bb7e02f] Detected
    [19.440] [    0.493963] iommu: Default domain type: Translated
    [19.447] [    0.498931] iommu: DMA domain TLB invalidation policy: strict mode
    [19.454] [    0.505603] SCSI subsystem initialized
    [19.458] [    0.509933] usbcore: registered new interface driver usbfs
    [19.464] [    0.515618] usbcore: registered new interface driver hub
    [19.469] [    0.521101] usbcore: registered new device driver usb
    [19.475] [    0.526859] pps_core: LinuxPPS API ver. 1 registered
    [19.480] [    0.531953] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
    [19.490] [    0.541311] PTP clock support registered
    [19.494] [    0.545520] EDAC MC: Ver: 3.0.0
    [19.497] [    0.549463] scmi_core: SCMI protocol bus registered
    [19.503] [    0.554806] FPGA manager framework
    [19.507] [    0.558386] Advanced Linux Sound Architecture Driver Initialized.
    [19.513] [    0.566072] clocksource: Switched to clocksource arch_sys_counter
    [19.521] [    0.572793] VFS: Disk quotas dquot_6.6.0
    [19.525] [    0.582100] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
    [19.538] [    0.596459] NET: Registered PF_INET protocol family
    [19.551] [    0.601767] IP idents hash table entries: 32768 (order: 6, 262144 bytes, linear)
    [19.558] [    0.611369] tcp_listen_portaddr_hash hash table entries: 1024 (order: 2, 16384 bytes, linear)
    [19.568] [    0.620256] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear)
    [19.576] [    0.628203] TCP established hash table entries: 16384 (order: 5, 131072 bytes, linear)
    [19.585] [    0.636458] TCP bind hash table entries: 16384 (order: 7, 524288 bytes, linear)
    [19.592] [    0.644503] TCP: Hash tables configured (established 16384 bind 16384)
    [19.600] [    0.651422] UDP hash table entries: 1024 (order: 3, 32768 bytes, linear)
    [19.623] [    0.658349] UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes, linear)
    [19.623] [    0.665892] NET: Registered PF_UNIX/PF_LOCAL protocol family
    [19.623] [    0.672338] RPC: Registered named UNIX socket transport module.
    [19.627] [    0.678423] RPC: Registered udp transport module.
    [19.631] [    0.683235] RPC: Registered tcp transport module.
    [19.636] [    0.688045] RPC: Registered tcp-with-tls transport module.
    [19.642] [    0.693654] RPC: Registered tcp NFSv4.1 backchannel transport module.
    [19.648] [    0.700274] NET: Registered PF_XDP protocol family
    [19.654] [    0.705201] PCI: CLS 0 bytes, default 64
    [19.658] [    0.715413] Initialise system trusted keyrings
    [19.668] [    0.720308] workingset: timestamp_bits=46 max_order=19 bucket_order=0
    [19.675] [    0.727353] squashfs: version 4.0 (2009/01/31) Phillip Lougher
    [19.682] [    0.733684] NFS: Registering the id_resolver key type
    [19.687] [    0.738918] Key type id_resolver registered
    [19.691] [    0.743200] Key type id_legacy registered
    [19.696] [    0.747325] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
    [19.702] [    0.754186] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering...
    [19.710] [    0.802341] Key type asymmetric registered
    [19.756] [    0.806538] Asymmetric key parser 'x509' registered
    [19.761] [    0.811604] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245)
    [19.768] [    0.819381] io scheduler mq-deadline registered
    [19.773] [    0.824063] io scheduler kyber registered
    [19.777] [    0.828212] io scheduler bfq registered
    [19.780] [    0.835796] pinctrl-single 4084000.pinctrl: 34 pins, size 136
    [19.791] [    0.842675] pinctrl-single f4000.pinctrl: 171 pins, size 684
    [19.798] [    0.857523] Serial: 8250/16550 driver, 12 ports, IRQ sharing enabled
    [19.821] [    0.876337] loop: module loaded
    [19.828] [    0.880807] megasas: 07.725.01.00-rc1
    [19.833] [    0.889278] tun: Universal TUN/TAP device driver, 1.6
    [19.844] [    0.895419] thunder_xcv, ver 1.0
    [19.848] [    0.898787] thunder_bgx, ver 1.0
    [19.851] [    0.902118] nicpf, ver 1.0
    [19.854] [    0.905068] e1000: Intel(R) PRO/1000 Network Driver
    [19.859] [    0.910059] e1000: Copyright (c) 1999-2006 Intel Corporation.
    [19.864] [    0.915967] e1000e: Intel(R) PRO/1000 Network Driver
    [19.870] [    0.921045] e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
    [19.875] [    0.927124] igb: Intel(R) Gigabit Ethernet Network Driver
    [19.881] [    0.932646] igb: Copyright (c) 2007-2014 Intel Corporation.
    [19.887] [    0.938370] igbvf: Intel(R) Gigabit Virtual Function Network Driver
    [19.893] [    0.944782] igbvf: Copyright (c) 2009 - 2012 Intel Corporation.
    [19.899] [    0.950980] sky2: driver version 1.30
    [19.903] [    0.955865] VFIO - User Level meta-driver version: 0.3
    [19.909] [    0.961799] usbcore: registered new interface driver usb-storage
    [19.917] [    0.968677] i2c_dev: i2c /dev entries driver
    [19.921] [    0.975035] sdhci: Secure Digital Host Controller Interface driver
    [19.931] [    0.981382] sdhci: Copyright(c) Pierre Ossman
    [19.934] [    0.986111] sdhci-pltfm: SDHCI platform and OF driver helper
    [19.941] [    0.992712] ledtrig-cpu: registered to indicate activity on CPUs
    [19.948] [    0.999228] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping ....
    [19.955] [    1.006327] usbcore: registered new interface driver usbhid
    [19.960] [    1.012033] usbhid: USB HID core driver
    [19.964] [    1.016363] omap-mailbox 29000000.mailbox: omap mailbox rev 0x66fc9100
    [19.971] [    1.024690] hw perfevents: enabled with armv8_cortex_a53 PMU driver, 7 counters available
    [19.982] [    1.033777] optee: probing for conduit method.
    [19.987] [    1.038378] optee: revision 4.2 (12d7c4ee)
    [19.992] [    1.038778] optee: dynamic shared memory is enabled
    [19.996] [    1.048470] optee: initialized driver
    [20.000] [    1.054476] Initializing XFRM netlink socket
    [20.008] [    1.058929] NET: Registered PF_PACKET protocol family
    [20.013] [    1.064199] Key type dns_resolver registered
    [20.034] [    1.078476] registered taskstats version 1
    [20.034] [    1.082943] Loading compiled-in X.509 certificates
    [20.036] [    1.102219] ti-sci 44043000.system-controller: ABI: 4.0 (firmware rev 0x000a '10.0.8--v10.00.08 (Fiery Fox)')
    [20.061] [    1.158395] ti-sci-clk 44043000.system-controller:clock-controller: recalc-rate failed for dev=81, clk=20, ret=-19
    [20.118] [    1.191148] i2c 0-0030: Fixed dependency cycle(s) with /bus@f0000/i2c@20000000/pmic@30/regulators/buck2
    [20.150] [    1.201209] omap_i2c 20000000.i2c: bus 0 rev0.12 at 400 kHz
    [20.156] [    1.208605] pca953x 1-0020: supply vcc not found, using dummy regulator
    [20.164] [    1.215667] pca953x 1-0020: using no AI
    [20.169] [    1.243391] i2c 1-0010: Fixed dependency cycle(s) with /bus@f0000/ticsi2rx@30102000/csi-bridge@30101000
    [20.202] [    1.253381] omap_i2c 20010000.i2c: bus 1 rev0.12 at 100 kHz
    [20.207] [    1.259417] ti-sci-intr 4210000.interrupt-controller: Interrupt Router 5 domain created
    [20.217] [    1.267830] ti-sci-intr bus@f0000:interrupt-controller@a00000: Interrupt Router 3 domain created
    [20.225] [    1.277180] ti-sci-inta 48000000.interrupt-controller: Interrupt Aggregator domain 28 created
    [20.234] [    1.287876] ti-udma 485c0100.dma-controller: Number of rings: 82
    [20.242] [    1.297240] ti-udma 485c0100.dma-controller: Channels: 48 (bchan: 18, tchan: 12, rchan: 18)
    [20.254] [    1.309053] ti-udma 485c0000.dma-controller: Number of rings: 150
    [20.264] [    1.321264] ti-udma 485c0000.dma-controller: Channels: 35 (tchan: 20, rchan: 15)
    [20.278] [    1.332243] printk: console [ttyS2] disabled
    [20.286] [    1.337124] 2800000.serial: ttyS2 at MMIO 0x2800000 (irq = 238, base_baud = 3000000) is a 8250
    [20.295] [    1.346184] printk: console [ttyS2] enabled
    [20.299] [    1.346184] printk: console [ttyS2] enabled
    [20.304] [    1.354659] printk: bootconsole [ns16550a0] disabled
    [20.309] [    1.354659] printk: bootconsole [ns16550a0] disabled
    [20.314] [    1.373338] spi-nor spi0.0: unrecognized JEDEC id bytes: ff ff ff ff ff ff
    [20.329] [    1.384054] mmc0: CQHCI version 5.10
    [20.337] [    1.424776] VDD_CORE: Bringing 750000uV into 850000-850000uV
    [20.380] [    1.425633] input: tps65219-pwrbutton as /devices/platform/bus@f0000/20000000.i2c/i2c-0/0-0030/tps65219-pwrbutton.2.auto/input/input0
    [20.392] [    1.430590] mmc0: SDHCI controller on fa10000.mmc [fa10000.mmc] using ADMA 64-bit
    [20.399] [    1.460239] mmc1: CQHCI version 5.10
    [20.413] [    1.502643] mmc1: SDHCI controller on fa00000.mmc [fa00000.mmc] using ADMA 64-bit
    [20.459] [    1.513525] debugfs: Directory 'pd:186' with parent 'pm_genpd' already present!
    [20.470] [    1.521851] clk: Disabling unused clocks
    [20.475] [    1.528611] ti-sci-clk 44043000.system-controller:clock-controller: is_prepared failed for dev=81, clk=20, ret=-19
    [20.487] [    1.544216] ALSA device list:
    [20.496] [    1.547209]   No soundcards found.
    [20.500] [    1.551273] Waiting for root device PARTUUID=076c4a2a-02...
    [20.505] [    1.563668] mmc1: new ultra high speed SDR104 SDHC card at address aaaa
    [20.519] [    1.571158] mmcblk1: mmc1:aaaa SA08G 7.40 GiB
    [20.525] [    1.578634]  mmcblk1: p1 p2
    [20.531] [    1.611563] EXT4-fs (mmcblk1p2): mounted filesystem dd8b7318-d11a-4d67-b3b9-a3869236fe3f r/w with ordered data mode. Quota mode: none.
    [20.573] [    1.623801] VFS: Mounted root (ext4 filesystem) on device 179:2.
    [20.579] [    1.631065] devtmpfs: mounted
    [20.583] [    1.639059] Freeing unused kernel memory: 5056K
    [20.592] [    1.643936] Run /sbin/init as init process
    [20.596] [    1.842697] systemd[1]: System time before build time, advancing clock.
    [20.799] [    1.869295] systemd[1]: Failed to find module 'autofs4'
    [20.824] [    1.898526] NET: Registered PF_INET6 protocol family
    [20.853] [    1.904642] Segment Routing with IPv6
    [20.857] [    1.908385] In-situ OAM (IOAM) with IPv6
    [20.861] [    1.946403] systemd[1]: systemd 255.4^ running in system mode (+PAM -AUDIT -SELINUX -APPARMOR +IMA -SMACK +SECCOMP -GCRYPT -GNUTLS -OPENSSL +ACL +BLKID -CURL -ELFUTILS -FIDO2 -IDN2 -IDN -IPTC +KMOD -LIBCRYPTSETUP +LIBFDISK -PCRE2 -PWQUALITY -P11KIT -QRENCODE -TPM2 -BZIP2 -LZ4 -XZ -ZLIB +ZSTD -BPF_FRAMEWORK -XKBCOMMON +UTMP +SYSVINIT default-hierarchy=unified)
    [20.927] [    1.978451] systemd[1]: Detected architecture arm64.
    [20.933] 
    [20.936] Welcome to Arago 2023.10!
    [20.938] 
    
    [20.939] [    2.001059] systemd[1]: Hostname set to <mitysom-am62x>.
    [20.956] [    2.420724] systemd[1]: /etc/systemd/system/sync-clocks.service:11: Standard output type syslog is obsolete, automatically updating to journal. Please update your unit file, and consider removing the setting altogether.
    [21.389] [    2.496243] systemd[1]: Queued start job for default target Multi-User System.
    [21.452] [    2.530745] systemd[1]: Created slice Slice /system/getty.
    [21.485] [  OK  ] Created slice Slice /system/getty.
    
    [21.492] [    2.556095] systemd[1]: Created slice Slice /system/modprobe.
    [21.511] [  OK  ] Created slice Slice /system/modprobe.
    
    [21.518] [    2.580089] systemd[1]: Created slice Slice /system/serial-getty.
    [21.535] [  OK  ] Created slice Slice /system/serial-getty.
    
    [21.542] [    2.603551] systemd[1]: Created slice User and Session Slice.
    [21.558] [  OK  ] Created slice User and Session Slice.
    
    [21.565] [    2.626727] systemd[1]: Started Dispatch Password Requests to Console Directory Watch.
    [21.584] [  OK  ] Started Dispatch Password Requests to Console Directory Watch.
    
    [21.592] [    2.650492] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
    [21.607] [  OK  ] Started Forward Password Requests to Wall Directory Watch.
    
    [21.616] [    2.674269] systemd[1]: Expecting device /dev/ttyS2...
    [21.628]          Expecting device /dev/ttyS2...
    
    [21.633] [    2.690306] systemd[1]: Reached target Path Units.
    [21.651] [  OK  ] Reached target Path Units.
    
    [21.651] [    2.706233] systemd[1]: Reached target Remote File Systems.
    [21.660] [  OK  ] Reached target Remote File Systems.
    
    [21.666] [    2.726189] systemd[1]: Reached target Slice Units.
    [21.680] [  OK  ] Reached target Slice Units.
    
    [21.685] [    2.742213] systemd[1]: Reached target Swaps.
    [21.696] [  OK  ] Reached target Swaps.
    
    [21.701] [    2.760439] systemd[1]: Listening on Syslog Socket.
    [21.714] [  OK  ] Listening on Syslog Socket.
    
    [21.720] [    2.781783] systemd[1]: Listening on Process Core Dump Socket.
    [21.737] [  OK  ] Listening on Process Core Dump Socket.
    
    [21.743] [    2.802747] systemd[1]: Listening on initctl Compatibility Named Pipe.
    [21.758] [  OK  ] Listening on initctl Compatibility Named Pipe.
    
    [21.765] [    2.832955] systemd[1]: Journal Audit Socket was skipped because of an unmet condition check (ConditionSecurity=audit).
    [21.793] [    2.844810] systemd[1]: Listening on Journal Socket (/dev/log).
    [21.800] [  OK  ] Listening on Journal Socket (/dev/log).
    
    [21.807] [    2.867130] systemd[1]: Listening on Journal Socket.
    [21.821] [  OK  ] Listening on Journal Socket.
    
    [21.827] [    2.883154] systemd[1]: Listening on Network Service Netlink Socket.
    [21.839] [  OK  ] Listening on Network Service Netlink Socket.
    
    [21.846] [    2.907100] systemd[1]: Listening on udev Control Socket.
    [21.861] [  OK  ] Listening on udev Control Socket.
    
    [21.867] [    2.930802] systemd[1]: Listening on udev Kernel Socket.
    [21.884] [  OK  ] Listening on udev Kernel Socket.
    
    [21.890] [    2.950891] systemd[1]: Listening on User Database Manager Socket.
    [21.906] [  OK  ] Listening on User Database Manager Socket.
    
    [21.913] [    2.998630] systemd[1]: Mounting Huge Pages File System...
    [21.953]          Mounting Huge Pages File System...
    
    [21.959] [    3.018518] systemd[1]: Mounting POSIX Message Queue File System...
    [21.974]          Mounting POSIX Message Queue File System...
    
    [21.980] [    3.062654] systemd[1]: Mounting Kernel Debug File System...
    [22.017]          Mounting Kernel Debug File System...
    
    [22.027] [    3.086443] systemd[1]: Mounting Kernel Trace File System...
    [22.041]          Mounting Kernel Trace File System...
    
    [22.047] [    3.112701] systemd[1]: Mounting Temporary Directory /tmp...
    [22.067]          Mounting Temporary Directory /tmp...
    
    [22.072] [    3.139231] systemd[1]: Starting Create List of Static Device Nodes...
    [22.095]          Starting Create List of Static Device Nodes...
    
    [22.102] [    3.171502] systemd[1]: Starting Load Kernel Module configfs...
    [22.126]          Starting Load Kernel Module configfs...
    
    [22.133] [    3.195330] systemd[1]: Starting Load Kernel Module drm...
    [22.150]          Starting Load Kernel Module drm...
    
    [22.156] [    3.219253] systemd[1]: Starting Load Kernel Module fuse...
    [22.173]          Starting Load Kernel Module fuse...
    
    [22.180] [    3.268724] systemd[1]: Starting Journal Service...
    [22.223]          Starting Journal Service...
    
    [22.229] [    3.283502] fuse: init (API version 7.39)
    [22.237] [    3.293156] systemd[1]: Load Kernel Modules was skipped because no trigger condition checks were met.
    [22.259] [    3.311191] systemd[1]: Starting Generate network units from Kernel command line...
    [22.267]          Starting Generate network units from Kernel command line...
    
    [22.282] [    3.367065] systemd[1]: Starting Remount Root and Kernel File Systems...
    [22.323]          Starting Remount Root and Kernel File Systems[    3.377796] systemd-journald[98]: Collecting audit messages is disabled.
    [22.336] [0m...
    
    [22.336] [    3.435860] systemd[1]: Starting Apply Kernel Variables...
    [22.391]          Starting Apply Kernel Variables...
    
    [22.402] [    3.461784] EXT4-fs (mmcblk1p2): re-mounted dd8b7318-d11a-4d67-b3b9-a3869236fe3f ro. Quota mode: none.
    [22.420] [    3.487206] systemd[1]: Starting Coldplug All udev Devices...
    [22.442]          Starting Coldplug All udev Devices...
    
    [22.451] [    3.504850] systemd[1]: Started Journal Service.
    [22.458] [  OK  ] Started Journal Service.
    
    [22.464] [  OK  ] Mounted Huge Pages File System.
    
    [22.481] [  OK  ] Mounted POSIX Message Queue File System.
    
    [22.499] [  OK  ] Mounted Kernel Debug File System.
    
    [22.515] [  OK  ] Mounted Kernel Trace File System.
    
    [22.534] [  OK  ] Mounted Temporary Directory /tmp.
    
    [22.550] [  OK  ] Finished Create List of Static Device Nodes.
    
    [22.568] [  OK  ] Finished Load Kernel Module configfs.
    
    [22.590] [  OK  ] Finished Load Kernel Module drm.
    
    [22.608] [  OK  ] Finished Load Kernel Module fuse.
    
    [22.633] [  OK  ] Finished Generate network units from Kernel command line.
    
    [22.645] [  OK  ] Finished Remount Root and Kernel File Systems.
    
    [22.666] [  OK  ] Finished Apply Kernel Variables.
    
    [22.675] [  OK  ] Reached target Preparation for Network.
    
    [22.732]          Mounting FUSE Control File System...
    
    [22.770]          Mounting Kernel Configuration File System...
    
    [22.791]          Starting Flush Journal to Persistent Storage...
    
    [22.835]          Starting Create Static Device Nodes in /dev gracefully...
    
    [22.867] [    3.928377] systemd-journald[98]: Received client request to flush runtime journal.
    [22.885] [  OK  ] Mounted FUSE Control File System.
    
    [22.905] [  OK  ] Mounted Kernel Configuration File System.
    
    [22.926] [  OK  ] Finished Flush Journal to Persistent Storage.
    
    [22.939] \r
    [  OK  ] Finished Create Static Device Nodes in /dev gracefully.
    
    [23.048]          Starting Create Static Device Nodes in /dev...
    
    [23.049] [  OK  ] Finished Coldplug All udev Devices.
    
    [23.095] [  OK  ] Finished Create Static Device Nodes in /dev.
    
    [23.112] [  OK  ] Reached target Preparation for Local File Systems.
    
    [23.136]          Mounting /var/volatile...
    
    [23.173]          Starting Rule-based Manager for Device Events and Files...
    
    [23.193] [  OK  ] Mounted /var/volatile.
    
    [23.213]          Starting Bind mount volatile /var/cache...
    
    [23.243]          Starting Bind mount volatile /var/lib...
    
    [23.291]          Starting Bind mount volatile /var/spool...
    
    [23.312]          Starting Bind mount volatile /srv...
    
    [23.345] [  OK  ] Started Rule-based Manager for Device Events and Files.
    
    [23.478] [  OK  ] Finished Bind mount volatile /var/cache.
    
    [23.501] [  OK  ] Finished Bind mount volatile /var/lib.
    
    [23.520] [  OK  ] Finished Bind mount volatile /var/spool.
    
    [23.535] [  OK  ] Finished Bind mount volatile /srv.
    
    [23.554] [  OK  ] Reached target Local File Systems.
    
    [23.598]          Starting Network Configuration...
    
    [23.642]          Starting Load/Save OS Random Seed...
    
    [23.688]          Starting Create Volatile Files and Directories...
    
    [23.736] [  OK  ] Found device /dev/ttyS2.
    
    [23.878]          Starting User Database Manager...
    
    [23.973] [  OK  ] Finished Create Volatile Files and Directories.
    
    [23.993]          Starting Network Name Resolution...
    
    [24.349]          Starting Network Time Synchronization...
    
    [24.418]          Starting Record System Boot/Shutdown in UTMP...
    
    [24.482] [  OK  ] Started User Database Manager.
    
    [24.505] [    5.694184] random: crng init done
    [24.647] [  OK  ] Finished Record System Boot/Shutdown in UTMP.
    
    [24.664] [  OK  ] Finished Load/Save OS Random Seed.
    
    [24.678] [    5.877681] cfg80211: Loading compiled-in X.509 certificates for regulatory database
    [24.835] [    5.930111] Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
    [24.889] [    5.947061] Loaded X.509 cert 'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600'
    [24.903] [    5.960996] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
    [24.919] [    5.983229] cfg80211: failed to load regulatory.db
    [24.936] [  OK  ] Started Network Configuration.
    
    [25.032] [  OK  ] Started Network Time Synchronization.
    
    [25.068] [  OK  ] Reached target System Time Set.
    
    [25.079] [  OK  ] Started Network Name Resolution.
    
    [25.087] [  OK  ] Reached target Network.
    
    [25.102] [  OK  ] Reached target Host and Network Name Lookups.
    
    [25.119] [  OK  ] Reached target System Initialization.
    
    [25.135] [  OK  ] Started Daily Cleanup of Temporary Directories.
    
    [25.143] [  OK  ] Reached target Timer Units.
    
    [25.161] [  OK  ] Listening on D-Bus System Message Bus Socket.
    
    [25.180] [    6.248017] at24 0-0050: supply vcc not found, using dummy regulator
    [25.204] [  OK  ] Listening on dropbear.socket.
    [    6.266273] mc: Linux media interface: v0.10
    [25.221] 
    [25.222] [    6.276092] at24 0-0050: 4096 byte 24c32 EEPROM, read-only
    [25.231] [  OK  ] Reached target Socket Units.
    
    [25.238] [  OK  ] Reached target Basic System.
    
    [25.254] [    6.334004] tidss 30200000.dss: failed to init OLDI: -517
    [25.289] [    6.343296] tidss 30200000.dss: failed to init OLDI: -517
    [25.299] [  OK  ] Started Kernel Logging Service.
    
    [25.304] [    6.376526] videodev: Linux video capture interface: v2.00
    [25.331] [  OK  ] Started System Logging Service.
    
    [25.342] [    6.418337] tidss 30200000.dss: failed to init OLDI: -517
    [25.373]          Starting D-Bus System Message Bus...
    
    [25.390]          Starting User Login Management...
    
    [25.448]          Starting Permit User Sessions...
    
    [25.518] [  OK  ] Finished Permit User Sessions.
    
    [25.591] [    6.674278] tidss 30200000.dss: failed to init OLDI: -517
    [25.629] [  OK  ] Started Getty on tty1.
    
    [25.661] [    6.714007] imx219 1-0010: supply VANA not found, using dummy regulator
    [25.670] [  OK  ] Started Serial Getty on ttyS2.
    [    6.777486] imx219 1-0010: supply VDIG not found, using dummy regulator
    [25.738] 
    [25.738] [  OK  ] Reached target Login Prompts.
    [    6.795974] imx219 1-0010: supply VDDL not found, using dummy regulator
    [25.751] 
    [25.751] [    6.826207] tidss 30200000.dss: failed to init OLDI: -517
    [25.781] [    6.852479] tidss 30200000.dss: failed to init OLDI: -517
    [25.807]          Starting Synchronize System and HW clocks...
    
    [25.816] [    6.867864] rtc-ti-k3 2b1f0000.rtc: registered as rtc0
    [25.822] [    6.874194] tidss 30200000.dss: failed to init OLDI: -517
    [25.829] [  OK  ] Started D-Bus System Message Bus.
    
    [25.840] [  OK  ] Started User Login Management.
    [    6.909121] tidss 30200000.dss: failed to init OLDI: -517
    [25.869] 
    [25.869] [  OK  ] Finished Synchronize System and HW [    6.932461] tidss 30200000.dss: failed to init OLDI: -517
    [25.888] clocks.
    
    [25.889] [    6.940830] rtc-ti-k3 2b1f0000.rtc: setting system clock to 2024-02-27T17:26:09 UTC (1709054769)
    [25.899] [  OK  ] Reached target Multi-User System.
    
    [25.916]          Starting Record Runlevel Change in UTMP...
    
    [25.972] [    7.044494] tidss 30200000.dss: failed to init OLDI: -517
    [25.999] [    7.064937] panel-simple display: supply power not found, using dummy regulator
    [26.020] [    7.090884] tidss 30200000.dss: failed to init OLDI: -517
    [26.046] [  OK  ] Finished Record Runlevel Change in UTMP.
    
    [26.054] [    7.151678] [drm] Initialized tidss 1.0.0 20180215 for 30200000.dss on minor 0
    [26.108] [    7.188223] Console: switching to colour frame buffer device 160x50
    [26.173] [    7.201712] platform 78000000.r5f: R5F core may have been powered on by a different host, programmed state (0) != actual state (1)
    [26.191] [    7.206194] platform 78000000.r5f: configured R5F for IPC-only mode
    [26.205] [    7.206542] platform 78000000.r5f: assigned reserved memory node r5f-dma-memory@9da00000
    [26.217] [    7.207041] remoteproc remoteproc0: 78000000.r5f is available
    [26.228] [    7.207179] remoteproc remoteproc0: attaching to 78000000.r5f
    [26.234] [    7.208197] platform 78000000.r5f: R5F core initialized in IPC-only mode
    [26.241] [    7.208224] rproc-virtio rproc-virtio.4.auto: assigned reserved memory node r5f-dma-memory@9da00000
    [26.250] [    7.208955] virtio_rpmsg_bus virtio0: rpmsg host is online
    [26.255] [    7.208984] rproc-virtio rproc-virtio.4.auto: registered virtio0 (type 7)
    [26.262] [    7.208991] remoteproc remoteproc0: remote processor 78000000.r5f is now attached
    [26.269] [    7.209482] virtio_rpmsg_bus virtio0: creating channel ti.ipc4.ping-pong addr 0xd
    [26.277] [    7.209603] virtio_rpmsg_bus virtio0: creating channel rpmsg_chrdev addr 0xe
    [26.285] [    7.228875] pvrsrvkm: loading out-of-tree module taints kernel.
    [26.290] [    7.273876] PVR_K:  170: Device: fd00000.gpu
    [26.295] [    7.281014] tidss 30200000.dss: [drm] fb0: tidssdrmfb frame buffer device
    [26.301] [    7.329322] PVR_K:  170: Read BVNC 33.15.11.3 from HW device registers
    [26.308] [    7.421739] PVR_K:  170: RGX Device registered with BVNC 33.15.11.3
    [26.377] [    7.439057] [drm] Initialized pvr 24.1.6554834 20170530 for fd00000.gpu on minor 1
    [26.395] [    7.499750] remoteproc remoteproc1: 30074000.pru is available
    [26.455] [    7.524725] remoteproc remoteproc2: 30078000.pru is available
    [26.480] [    7.735236] cdns-csi2rx 30101000.csi-bridge: Probed CSI2RX with 2/4 lanes, 4 streams, external D-PHY
    [26.694] [    7.896007] xhci-hcd xhci-hcd.5.auto: xHCI Host Controller
    [26.851] [    7.944536] xhci-hcd xhci-hcd.5.auto: new USB bus registered, assigned bus number 1
    [26.901] [    7.978812] xhci-hcd xhci-hcd.5.auto: USB3 root hub has no ports
    [26.933] [    7.988465] xhci-hcd xhci-hcd.5.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000008000000010
    [26.946] [    8.014328] xhci-hcd xhci-hcd.5.auto: irq 487, io mem 0x31000000
    [26.970] [    8.046932] hub 1-0:1.0: USB hub found
    [27.000] [    8.063063] hub 1-0:1.0: 1 port detected
    [27.016] [    8.085588] xhci-hcd xhci-hcd.6.auto: xHCI Host Controller
    [27.040] [    8.091727] xhci-hcd xhci-hcd.6.auto: new USB bus registered, assigned bus number 2
    [27.048] [    8.099987] xhci-hcd xhci-hcd.6.auto: USB3 root hub has no ports
    [27.055] [    8.106206] xhci-hcd xhci-hcd.6.auto: hcc params 0x0258fe6d hci version 0x110 quirks 0x0000008000000010
    [27.065] [    8.115773] xhci-hcd xhci-hcd.6.auto: irq 488, io mem 0x31100000
    [27.071] [    8.122987] hub 2-0:1.0: USB hub found
    [27.076] [    8.126897] hub 2-0:1.0: 1 port detected
    [27.080] 
    
    [27.135]  _____                    _____           _         _   
    [27.137] |  _  |___ ___ ___ ___   |  _  |___ ___  |_|___ ___| |_ 
    [27.142] |     |  _| .'| . | . |  |   __|  _| . | | | -_|  _|  _|
    [27.147] |__|__|_| |__,|_  |___|  |__|  |_| |___|_| |___|___|_|  
    [27.152]               |___|                    |___|            
    [27.158] 
    [27.158] Arago Project mitysom-am62x ttyS2
    [27.161] 
    [27.162] Arago 2023.10 mitysom-am62x ttyS2
    [27.165] 
    [27.165] mitysom-am62x login:root\r
    \r
     root
    
    [27.281] 
    [27.281] [    8.342196] usb 1-1: new high-speed USB device number 2 using xhci-hcd
    [27.298] [    8.507140] usb-storage 1-1:1.0: USB Mass Storage device detected
    [27.462] [    8.517538] scsi host0: usb-storage 1-1:1.0
    [27.471] [    8.874179] usb 2-1: new high-speed USB device number 2 using xhci-hcd
    [27.830] 7[    9.031818] usb-storage 2-1:1.0: USB Mass Storage device detected
    [27.987] [    9.040357] scsi host1: usb-storage 2-1:1.0
    [27.994] [    9.555018] scsi 0:0:0:0: Direct-Access     SanDisk  Cruzer Blade     1.00 PQ: 0 ANSI: 6
    [28.512] [    9.573195] sd 0:0:0:0: [sda] 30629376 512-byte logical blocks: (15.7 GB/14.6 GiB)
    [28.530] [    9.582000] sd 0:0:0:0: [sda] Write Protect is off
    [28.536] [    9.587432] sd 0:0:0:0: [sda] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [28.545] [    9.604108]  sda: sda1
    [28.555] [    9.607123] sd 0:0:0:0: [sda] Attached SCSI removable disk
    [28.561] [   10.067239] scsi 1:0:0:0: Direct-Access     SanDisk  Cruzer Blade     1.00 PQ: 0 ANSI: 6
    [29.024] [   10.086814] sd 1:0:0:0: [sdb] 15630336 512-byte logical blocks: (8.00 GB/7.45 GiB)
    [29.044] [   10.095459] sd 1:0:0:0: [sdb] Write Protect is off
    [29.049] [   10.102847] sd 1:0:0:0: [sdb] Write cache: disabled, read cache: enabled, doesn't support DPO or FUA
    [29.061] [   10.117796]  sdb: sdb1
    [29.068] [   10.120714] sd 1:0:0:0: [sdb] Attached SCSI removable disk
    [29.075] root@mitysom-am62x:~#date 082905432024.34\r
    \r
     date 082905432024.34
    [31.173] Thu Aug 29 05:43:34 UTC 2024
    [31.173] root@mitysom-am62x:~#cd /home/root/test_fixture\r
     
    [31.360] root@mitysom-am62x:~#./run_test_am62x.sh "6252-TX-XXD-RI" "23026345" "80-001632RI-3A" "C4:FF:BC:71:27:7C" "23-07-09" \r
     cd /home/root/test_fixture
    [31.920] root@mitysom-am62x:/home/root/test_fixture# ./run_test_am62x.sh "6252-TX-XXD-RI" 
     "23026345" "80-001632RI-3A" "C4:FF:BC:71:27:7C" "23-07-09" 
    [31.920] 
    [31.920] TESTFIXTURE:Starting MitySOM-AM62x device tests...
    [31.924] 
    [31.925] 
    [31.925] TESTFIXTURE:Test script version: 7 (03/26/2024)
    [31.929] 
    [31.929] 
    [31.929] TESTFIXTURE:Thu Aug 29 05:43:34 UTC 2024
    [31.933] 
    [31.933] 
    [31.933] Build Info:
    [31.934] Out-of-Jenkins build, 08/23/2024_16:17:40
    [31.938] Setup HDMI test pattern early
    [31.941] Opened /dev/fb0 [tidssdrmfb]
    [31.969] Framebuffer mapped at 0xffff92b98000 [1280 x 800 32bpp = 4096000 bytes]
    [31.976] finf.line_length: 5120
    [31.978] finf.smem_len: 4096000
    [31.980] vinf.xres: 1280 y: 800
    [31.982] vinf.xres_virtual: 1280 y: 800
    [31.985] vinf.xoffset: 0 y: 0
    [31.987] vinf.bits_per_pixel: 32
    [31.989] vinf.grayscale: 0
    [31.991] vinf.red.offset: 16 length: 8 msb_right: 0
    [31.995] vinf.green.offset: 8 length: 8 msb_right: 0
    [31.998] vinf.blue.offset: 0 length: 8 msb_right: 0
    [32.001] vinf.transp.offset: 0 length: 0 msb_right: 0
    [32.005] Unblanking display
    [32.009] Turning off cursor blink
    [32.009] Running 1 tests...
    [32.012] Running test: vbars [ Vertical Bars ]
    [32.015] vbars: from left blue, green, then red
    [32.018] Finished
    [32.019] 
    [32.019] +-------------------------------------------------------------------------------
    [32.026] | Factory Config Test
    [32.028] +-------------------------------------------------------------------------------
    [32.035] 
    [32.035] TESTFIXTURE:Factory Config Test
    [32.038] 
    [32.038] Magic   = 012C0138
    [32.040] Version = 1.02
    [32.110] Model Number  = 6252-TX-XXD-RI
    [32.524] Serial Number = 23026345
    [32.527] MAC Address   = C4:FF:BC:71:27:7C
    [32.530] Part Number   = 80-001632RI-3A
    [32.628] Date Code     = 23-07-09
    [32.733] 
    [32.733] +-------------------------------------------------------------------------------
    [32.740] | Power Test
    [32.741] +-------------------------------------------------------------------------------
    [32.748] 
    [32.749] TESTFIXTURE:Power Test
    [32.751] 
    [32.751] Testing HW Monitor
    [32.755] Sensor: /sys/class/hwmon/hwmon0/in1_input
    [32.758] Label: Power Monitor mVolts
    [32.762] Low Limit: 3200
    [32.763] High Limit: 3500
    [32.765] Current Value: 3275
    [32.767] PASSED
    [32.767] Testing HW Monitor
    [32.784] Sensor: /sys/class/hwmon/hwmon0/power1_input
    [32.788] Label: Power Monitor uWatts
    [32.791] Low Limit: 1000000
    [32.792] High Limit: 2500000
    [32.794] Current Value: 1473750
    [32.796] PASSED
    [32.797] 
    [32.797] +-------------------------------------------------------------------------------
    [32.803] | RAM Memory Test
    [32.806] +-------------------------------------------------------------------------------
    [32.813] 
    [32.813] TESTFIXTURE:RAM Memory Test
    [32.816] 
    [32.816] memtester version 4.3.0_cl (64-bit)
    [32.819] Copyright (C) 2001-2012 Charles Cazabon.
    [32.822] Licensed under the GNU General Public License version 2 (only).
    [32.827] 
    [32.827] pagesize is 4096
    [32.829] pagesizemask is 0xfffffffffffff000
    [32.839] using testmask 0x80000
    [32.839] want 1040MB (1090519040 bytes)
    [32.839] got  1040MB (1090519040 bytes), trying mlock ...locked.
    [33.391] Loop 1/1:
    [33.392]   Stuck Address       :            setting   0testing   0setting   1testing   1           ok
    [37.434] 
    [37.435] Done.
    [37.435] real	0m 4.66s
    [37.462] user	0m 3.98s
    [37.463] sys	0m 0.62s
    [37.464] 
    [37.464] +-------------------------------------------------------------------------------
    [37.471] | GPIO Test
    [37.472] +-------------------------------------------------------------------------------
    [37.480] 
    [37.480] TESTFIXTURE:GPIO Test
    [37.482] 
    [37.482] [   39.518061] rcu: INFO: rcu_preempt detected stalls on CPUs/tasks:
    [58.473] [   39.524174] rcu: 	0-....: (16 ticks this GP) idle=c63c/1/0x4000000000000004 softirq=6309/6311 fqs=2625
    [58.482] [   39.533468] rcu: 	(detected by 1, t=5252 jiffies, g=3081, q=165 ncpus=2)
    [58.489] [   39.540155] Task dump for CPU 0:
    [58.492] [   39.543377] task:swapper/0       state:R  running task     stack:0     pid:0     ppid:0      flags:0x0000000a
    [58.502] [   39.553282] Call trace:
    [58.505] [   39.555719]  __switch_to+0xcc/0x130
    [58.508] [   39.559216]  cpu_number+0x0/0x8
    [58.511] 
    [82.497] 
    [82.497] [TIMEOUT]
    [82.497]  sleep 3;  reboot\r
    ARDUINO POW OFF\r
    ^C sleep 3;  
    [82.746] root@mitysom-am62x:/home/root/test_fixture#  sleep 3;  reboot
    [82.746] ARDUINO POW OFF
    [82.746] ARDUINO RESP: Turned off power to SOM
    

  • Hi Jonathan,

    Thanks for your logs and they are super helpful. Based on the failure signature, our developer does not think connecting to CSS and collecting backtrace will yield anything meaningful. Please give us 24 hours to re-asses our debug plans. Additionally, could you share the run_test_am62x.sh script?

    Regards,
    Krunal

  • Additionally, could you share the run_test_am62x.sh script?

    I'm not sure it would be all that useful. Most of the functionality is split into seperate scripts, I.E. test-emmc or test-memory.

    The memory test runs "memtester 1040MB" with a quiet flag we added to keep log files from filling up and a testmask set to 0x80000 to skip all but the Stuck address test to speed up testing.

  • Hi Krunal - 

    Checking to see if there are any updates to this issue. 

    Thanks,

    Omar

  • Hi Omar and Jonathan,

    Could you disable all i2c instances in your device tree file and see if RCU stall still occurs? Additionally, please share your DTB with us.

    Regards,
    Krunal

  • Hi Bob,

    Thanks for the device tree files. I am wondering if you had the chance to disable all the i2c instances and run nightly tests?

    Regards,
    Krunal

  • Krunal, we will start those tests on Monday.

    Thanks,

    -Bob

  • Hi Bob,

    I am wondering if you had a chance to run tests with I2C instances disabled? Based on our testing so far, when RCU stall triggers, we are noticing a high amount of I2C interrupts. Additionally, based on your device tree, we see 5 clients on the 2 I2C bus and we need to narrow down which i2c instance is causing the IRQ storm. Once we know, we need to do the following:

    a) make sure overall impedance etc is fine on that line

    b) Make sure I2c clk and SDA lines are not stuck Low when the RCU stall occurs

    c) Disable client driver one by one on the affected i2c and see which client is causing issue 

    Regards,
    Krunal

  • Had a call with Bin and Mukul today. 

    Our current no i2c tests have seen one rcu_preempt failure in the last day of testing. Need to double check we aren't loading any i2c.  If these results hold, it would seem like i2c isn't responsible or atleast isn't the only instigator.

    There seems to be a common thread with the DSS subsystem running.  We've had seperate tests running for 2 weeks on boards without DSS running that have seen no such issues.  Plan to see about isolating/disabling DSS to verify if this changes error rate.

    What's a good command line to run any of the TI graphics demos? I'm just looking to automate stressing the DSS or graphics, without having to interact with the TI demo gui.

    Also what about the watchdogs.  While we are still investigating this issue, is it possible to use the watchdogs built into the processors, to reset the system when this happens?  Maybe this can be a band aid for our customer while this investigation continues.  If I use systemd watchdog.service and only CPU0 dies, will the system even reset if CPU1 is still able to service the watchdog?

    TODO:

    * Double check i2c was really disabled
    * Restart TF testing with DSS disabled instead
    * Setup devkit testing with DSS/OLDI enabled

  • * Double check i2c was really disabled

    Printed out /proc/interrupts and verifed there were no i2c interrupts remaining. Also checked /sys/bus/i2c/devices.  It does look disabled.

  • Hi Jonathan,

    Thank you for the summary and I just had an internal sync with Bin and Mukul. With regards to the following, There seems to be a common thread with the DSS subsystem running.  We've had seperate tests running for 2 weeks on boards without DSS running that have seen no such issues.  Plan to see about isolating/disabling DSS to verify if this changes error rate.

    Are you saying the RCU stalls only happen when DSS node is active? Without DSS, no RCU stalls reported on your setup and TI setup? Is that a fair understanding? The reason I ask is because we were only able to replicate the issue with our EVM when we have the HDMI monitor connected (NO LVDS) and Linux is just running weston (the grey screen). 

    What's a good command line to run any of the TI graphics demos? I'm just looking to automate stressing the DSS or graphics, without having to interact with the TI demo gui.

    [KB] You can disable the ti-apps-launcher/gui using the command systemctl stop ti-apps-launcher and systemctl mask ti-apps-launcher. The mask command will prevent apps launcher from launching next reboot. In order to run simple GPU app, you can run the command "glmark2-es2-wayland --fullscreen".

    With regards to watchdog, I am reviewing with our team and will get back to you.

    Regards,
    Krunal

  • Hi Jonathan,

    Any feedback on the previous questons?

    Additionally, some feedback from our SW devs:

    For rebooting on stall they can try adding following to bootargs instead of watchdog (there is per core watchdog in hw but systemd has only one watchdog thread)

    kernel.panic_on_rcu_stall = 1 // rcu stall leads to kernel panic

    kernel.panic = 10 // reboots on panic after 10s

    Regards,
    Krunal

  • Are you saying the RCU stalls only happen when DSS node is active?

    That's what I'm testing for now.  I've had 5 devkits running for 2 weeks without video, just fine.  But my two test fixture boards do have video (OLDI) and are seeing the stalls.  The test fixture filesystem does not have weston and just writes a test pattern directly to /dev/fb0.  So weston doesn't seem to be required to reproduce issue.

    kernel.panic_on_rcu_stall = 1 // rcu stall leads to kernel panic

    kernel.panic = 10 // reboots on panic after 10s

    Thanks, we will give this a shot.

  • Hi,

    For the 2 boards where you see the stall, is the HMDI bridge chip active and populated on the board? I understand OLDI panel is being driven but trying to understand the behavior of tfp410. Basically, I am trying to isolate the issue between DSS driver, tfp410 driver and i2c. 

    Regards,
    Krunal

  • For the 2 boards where you see the stall, is the HMDI bridge chip active and populated on the board? I understand OLDI panel is being driven but trying to understand the behavior of tfp410. Basically, I am trying to isolate the issue between DSS driver, tfp410 driver and i2c. 

    The two test fixture boards have a deserializer and tfp410 connected to each OLDI output.  The tfp410 driver is not loaded in the kernel, instead, we manually start each tfp410 using an i2cset command.

    The VOUT RGB24 pins are muxed to gpio loopbacks.

  • Hi,

    One last clarification, you mentioned about deserializer and tfp410 connected to each OLDI output. However, based on your DT node, you have DPI/RGB24 signal being routed to tfp410. I am assuming you are not using OLDI and it was a typo. With regards to deserializer, what's that being used for? 

    Regards,
    Krunal 

  • One last clarification, you mentioned about deserializer and tfp410 connected to each OLDI output. However, based on your DT node, you have DPI/RGB24 signal being routed to tfp410. I am assuming you are not using OLDI and it was a typo. With regards to deserializer, what's that being used for? 

    Sorry for the confusion.

    The device tree shared previous was for our devkit.  The devkit is very similar to the TI EVM and has RGB -> TFP410 -> HDMI.

    Our test fixture is very different as almost all pins are looped back. Nearly every peripheral is disabled.  And that design has the OLDI -> deserializer -> tfp410 -> HDMI.

    ti/k3-am62x-mitysom-testfixture.dts

  • Hi Jonathan,

    Here is the summary of all the experiments:

    Test Summary Test Observation
    Disable DSS driver from DTS RCU stalls not observed
    Enable DSS driver but HDMI transmitter+I2C disabled RCU stalls observed
    Enable DSS with HDMI transmitter +I2C enabled RCU stalls observed
    Enable DSS with OLDI and Serializer enabled RCU stalls observed

    Would you agree with the above table? If yes, it seems like DSS driver maybe doing something (maybe the IRQ routine?) that is hanging the CPU.

    Regards,

    Krunal

  • So far, yes.

    Update on our latest testing:

    2 Test Fixtures have been running for a day and a half.  They have done about 4k test loops and have seen 0 rcu_preempts with DSS disabled in the device tree.  According to our last test run we would have expected to see several.

    2 62x devkits and 3 62ax devkits have been running for a day and a half.  They were updated to use the OLDI overlay which has enabled the DSS (A /dev/fb0 node was created.)  They have been running memtester on a loop to simulate some CPU/memory load. So far none of them has seen a rcu_preempt.  Unclear if I just need to let this run longer though, maybe atleast 2.5 days.

    Note: I'm not sure we've ever seen a 62a have a rcu_preempt but we should run them through the same tests.

  • Thanks for the information. AM62A does not have OLDI so I assume it's the standard HDMI config. Also, the devkits here mean TI EMV correct?

    Regards,
    Krunal

  • Also, the devkits here mean TI EMV correct

    No, they are custom baseboards based on the original TI EVM.  That our 62x/62Ax SOMs plug into.

    AM62A does not have OLDI

    Thats a very good point.  I keep mixing up the X's,  A's and P's in my head, about which have and don't have OLDI/MIPI.  I got it straight for now.  As is, the AM62A SOMs running in our devkit are using bootargs "video=HDMI-A-1:1280x800@60D" to skip the hdmi hot plug detection.

  • Hi Jonathan,

    As of now, we have a theory that we need to test and will need your help. We need to test the DSS IRQ function and ensure it's not sending crazy amount of interrupts thus causing rcu stall (driver not handling all the pending irqs). My colleague, Bin, will provide the instructions shortly on how to setup the traces in Kernel. Additionally, I will be out of office for next few weeks but Bin will be monitoring the thread and will continue to work with you guys.

    Regards,
    Krunal

  • That sounds doable.  If I get those instructions in the next hour or two I should be able to get them in place for testing over the weekend.

  • Hi Johathan,

    I am working on the kernel patch. This is the very first time that I am touching the Display subsystem, I am comparing the driver code and TRM to ensure I get the register name/offset correctly.

    Meanwhile, I'd like to give you a heads-up, that I will need to have kernel ftrace enabled in this build, please let me know if you haven't used kernel ftrace before.

  • We already have ftrace enabled, and I am familiar