This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

TINA/Spice/OPA388: PSPICE model won't converge, even for a simple follower.s

Part Number: OPA388
Other Parts Discussed in Thread: TINA-TI,

Tool/software: TINA-TI or Spice Models

I modified the TI supplied OPA388 model to live in a PSPICE (8.0) library (see below).  I can't get the model to converge, even for a simple follower?  What have I done wrong?

******************************************************************************
* OPA388 model generated on December 07th, 2016
.subckt OPA388 IN+ IN- VCC VEE OUT
V_OS N036 N046 -240.202e-9
R1 N039 N037 R_NOISELESS 1e-3
R2 N055 ESDn R_NOISELESS 1e-3
R3 N083 0 R_NOISELESS 1e12
C1 N083 0 1
R4 VCC_B N082 R_NOISELESS 1e-3
C2 N082 0 1e-15
C3 N084 0 1e-15
R5 N084 VEE_B R_NOISELESS 1e-3
G1 N039 N040 N005 N012 1e-3
R6 MID N051 R_NOISELESS 1e12
VCM_MIN N054 VEE_B -0.1
R7 N054 MID R_NOISELESS 1e12
VCM_MAX N051 VCC_B +0.1
XVCM_CLAMP N040 MID N047 MID N051 N054 VCCS_EXT_LIM_OPA388
R8 N047 MID R_NOISELESS 1
C4 N048 MID 1e-15
R9 N047 N048 R_NOISELESS 1e-3
V4 N076 OUT 0
R10 MID N056 R_NOISELESS 1e12
R11 MID N057 R_NOISELESS 1e12
XIQ+ VIMON MID VCC MID VCCS_LIM_IQ_OPA388
XIQ- MID VIMON MID VEE VCCS_LIM_IQ_OPA388
R12 VCC_B N013 R_NOISELESS 1e3
R13 N026 VEE_B R_NOISELESS 1e3
XCLAWp VIMON MID N013 VCC_B VCCS_LIM_CLAWp_OPA388
XCLAWn MID VIMON VEE_B N026 VCCS_LIM_CLAWn_OPA388
R14 VEE_CLP MID R_NOISELESS 1e3
R15 MID VCC_CLP R_NOISELESS 1e3
R16 N014 N013 R_NOISELESS 1e-3
R17 N027 N026 R_NOISELESS 1e-3
C5 MID N014 1e-15
C6 N027 MID 1e-15
R18 VOUT_S N057 R_NOISELESS 100
C7 VOUT_S MID 1e-9
G2 MID VCC_CLP N014 MID 1e-3
G3 MID VEE_CLP N027 MID 1e-3
XCL_AMP N009 N038 VIMON MID N017 N024 CLAMP_AMP_LO_OPA388
V_ISCp N009 MID 60
V_ISCn N038 MID -60
XOL_SENSE MID N044 N043 N053 OL_SENSE_OPA388
R19 N038 MID R_NOISELESS 1e12
R20 N024 MID R_NOISELESS 1
C8 N025 MID 1e-15
R21 MID N017 R_NOISELESS 1
R22 MID N009 R_NOISELESS 1e12
C9 MID N018 1e-15
XCLAW_AMP VCC_CLP VEE_CLP VOUT_S MID N015 N022 CLAMP_AMP_LO_OPA388
R23 VEE_CLP MID R_NOISELESS 1e12
R24 N022 MID R_NOISELESS 1
C10 N023 MID 1e-15
R25 MID N015 R_NOISELESS 1
R26 MID VCC_CLP R_NOISELESS 1e12
C11 MID N016 1e-15
XCL_SRC N018 N025 CL_CLAMP MID VCCS_LIM_4_OPA388
XCLAW_SRC N016 N023 CLAW_CLAMP MID VCCS_LIM_3_OPA388
R27 N015 N016 R_NOISELESS 1e-3
R28 N023 N022 R_NOISELESS 1e-3
R29 N017 N018 R_NOISELESS 1e-3
R30 N025 N024 R_NOISELESS 1e-3
R31 N044 MID R_NOISELESS 1
R32 N044 SW_OL R_NOISELESS 100
C12 SW_OL MID 1e-12
R33 VIMON N056 R_NOISELESS 100
C13 VIMON MID 1e-9
C_DIFF ESDp ESDn 2e-12
C_CMn ESDn MID 4.5e-12
C_CMp MID ESDp 4.5e-12
I_Q VCC VEE 1.9e-3
I_B N040 MID 30e-12
I_OS N055 MID -30e-12
R34 IN+ ESDp R_NOISELESS 10e-3
R35 IN- ESDn R_NOISELESS 10e-3
R36 N034 MID R_NOISELESS 1
R37 N041 MID R_NOISELESS 1e12
R38 MID N020 R_NOISELESS 1
R39 MID N010 R_NOISELESS 1e12
XGR_AMP N010 N041 N019 MID N020 N034 CLAMP_AMP_HI_OPA388
XGR_SRC N021 N035 CLAMP MID VCCS_LIM_GR_OPA388
C17 MID N021 1e-15
C18 N035 MID 1e-15
V_GRn N041 MID -17
V_GRp N010 MID 17
R40 N020 N021 R_NOISELESS 1e-3
R41 N035 N034 R_NOISELESS 1e-3
R42 VSENSE N019 R_NOISELESS 1e-3
C19 MID N019 1e-15
R43 MID VSENSE R_NOISELESS 1e3
G5 N036 N037 N008 MID 1e-3
G8 MID CLAW_CLAMP N077 MID 1e-3
R45 MID CLAW_CLAMP R_NOISELESS 1e3
G9 MID CL_CLAMP CLAW_CLAMP MID 1e-3
R46 MID CL_CLAMP R_NOISELESS 1e3
R47 N062 VCLP R_NOISELESS 100
C24 MID VCLP 1e-12
E4 N062 MID CL_CLAMP MID 1
E5 N057 MID OUT MID 1
H1 N056 MID V4 1e3
S1 N059 N058 SW_OL MID OL_SW
R52 MID ESDp R_NOISELESS 1e12
R53 ESDn MID R_NOISELESS 1e12
R58 N037 N036 R_NOISELESS 1e3
R59 N082 N083 R_NOISELESS 1e6
R60 N083 N084 R_NOISELESS 1e6
R67 N040 N039 R_NOISELESS 1e3
G15 MID VSENSE CLAMP MID 1e-3
V_ORp N033 VCLP 10.5
V_ORn N028 VCLP -10.5
V11 N030 N029 0
V12 N031 N032 0
H3 N042 MID V12 10
S6 VCC OUT OUT VCC ESD_SW
S7 OUT VEE VEE OUT ESD_SW
E1 MID 0 N083 0 1
G16 0 VCC_B VCC 0 1
G17 0 VEE_B VEE 0 1
R88 VCC_B 0 R_NOISELESS 1
R89 VEE_B 0 R_NOISELESS 1
S8 N031 CLAMP CLAMP N031 OR_SW
S9 CLAMP N030 N030 CLAMP OR_SW
Xi_nn ESDn MID FEMT_OPA388
Xi_np N046 MID FEMT_OPA388
XVCCS_LIMIT_1 N048 N055 MID N049 VCCS_LIM_1_OPA388
XVCCS_LIMIT_2 N049 MID MID CLAMP VCCS_LIM_2_OPA388
R44 N049 MID R_NOISELESS 1e6
R68 CLAMP MID R_NOISELESS 1e6
G7 MID N050 VSENSE MID 1e-6
R69 N050 MID R_NOISELESS 1e6
G10 MID N029 N028 MID 1
R73 N029 MID R_NOISELESS 1
G11 MID N032 N033 MID 1
R74 N032 MID R_NOISELESS 1
H2 N052 MID V11 -10
Xe_n N046 N045 VNSE_OPA388
R51 N045 ESDp R_NOISELESS 1e-3
S2 VCC ESDn ESDn VCC ESD_SW
S3 VCC ESDp ESDp VCC ESD_SW
S4 ESDn VEE VEE ESDn ESD_SW
S5 ESDp VEE VEE ESDp ESD_SW
R71 N043 N042 R_NOISELESS 100
R72 N053 N052 R_NOISELESS 100
C27 N043 MID 1e-12
C28 N053 MID 1e-12
Rx N076 N075 R_NOISELESS 17.5e3
Rdummy N076 MID R_NOISELESS 1.75e3
G30 MID N058 CL_CLAMP N076 237.45e3
Rdc3 N058 MID R_NOISELESS 1
R110 N058 N059 R_NOISELESS 1e4
R111 N059 MID R_NOISELESS 9090.91
G31 MID N063 N059 MID 2.1
C41 N059 N058 3.98e-5
R112 N063 MID R_NOISELESS 1
R113 N063 N064 R_NOISELESS 62.73e3
R114 N064 N078 R_NOISELESS 1e4
C42 MID N078 7.96e-9
R115 N067 MID R_NOISELESS 1
R116 N069 N070 R_NOISELESS 1e4
R117 N070 MID R_NOISELESS 3.61e3
G32 MID N071 N070 MID 3.77
C43 N070 N069 4.08e-11
R118 N071 MID R_NOISELESS 1
R119 N065 MID R_NOISELESS 1
R120 N065 N066 R_NOISELESS 1.9e5
R121 N066 N079 R_NOISELESS 1e4
C44 MID N079 7.96e-10
R122 N069 MID R_NOISELESS 1
R123 N067 N068 R_NOISELESS 7.7e5
R124 N068 N080 R_NOISELESS 1e4
C45 MID N080 4.08e-11
R125 N073 N074 R_NOISELESS 1e4
R126 N074 MID R_NOISELESS 8
C46 N074 N073 2e-13
R127 N075 MID R_NOISELESS 1
R128 N073 MID R_NOISELESS 1
R129 N071 N072 R_NOISELESS 1e4
R130 N072 N081 R_NOISELESS 1e4
C47 MID N081 5.3e-13
G33 MID N065 N064 MID 1
G34 MID N067 N066 MID 1
G35 MID N069 N068 MID 1
G36 MID N073 N072 MID 1
XVCCS_LIM_ZO N074 MID MID N075 VCCS_LIM_ZO_OPA388
Rdc1 N060 MID R_NOISELESS 1
R61 N060 N061 R_NOISELESS 1e4
R62 N061 MID R_NOISELESS 11.11e3
G13 MID N077 N061 MID 1.9e-3
C21 N061 N060 1.59e-12
R63 N077 MID R_NOISELESS 1e3
G18 MID N060 N050 MID 1
C23 CLAMP MID 4.57e-7
C25 N050 MID 1.59e-15
C20 N003 N004 1.105e-12
R70 N003 MID R_NOISELESS 98.728e3
R75 N003 N004 R_NOISELESS 1e8
G_adjust1 MID N004 VCC_B MID 101.4e-6
Rsrc8 N004 MID R_NOISELESS 1
G20 MID N002 MID N003 1
Rsrc9 N002 MID R_NOISELESS 1
C22 N001 N002 1.105e-12
R76 N001 N002 R_NOISELESS 1e8
R77 N001 MID R_NOISELESS 98.728e3
G21 MID N005 MID N001 1014
Rsrc10 N005 MID R_NOISELESS 1
C15 N012 N011 1.516e-9
R54 N012 MID R_NOISELESS 61.76
R55 N012 N011 R_NOISELESS 1e8
G12 MID N011 VEE_B MID 162e-3
Rsrc2 N011 MID R_NOISELESS 1
C14 N007 N006 1.4e-13
R65 N007 MID R_NOISELESS 357.5e3
R66 N007 N006 R_NOISELESS 1e8
G_adjust2 MID N006 ESDp MID 2.8e-5
Rsrc1 N006 MID R_NOISELESS 1
G6 MID N008 MID N007 1
Rsrc3 N008 MID R_NOISELESS 1
* Models used:
.model BB_SW VSWITCH(Ron=50 Roff=1e12 Von=700e-3 Voff=0)
.model ESD_SW VSWITCH(Ron=50 Roff=1e12 Von=500e-3 Voff=100e-3)
.model OL_SW VSWITCH(Ron=1e-3 Roff=1e12 Von=900e-3 Voff=800e-3)
.model OR_SW VSWITCH(Ron=10e-3 Roff=1e12 Von=1e-3 Voff=0)
.model R_NOISELESS RES(T_ABS=-273.15)
.ends OPA388
**************************
.subckt CLAMP_AMP_HI_OPA388 VCplus VCminus VIN COM VO+ VO-
.param Gain = 10
GVo+ COM VO+ Value = {IF(V(VIN,COM ) > V(VCplus,COM), ((V(VIN,COM) - V(VCplus,COM)) * Gain), 0)}
GVo- COM VO- Value = {IF(V(VIN,COM) < V(VCminus,COM), ((V(VCminus,COM) - V(VIN,COM)) * Gain), 0)}
.ends CLAMP_AMP_HI_OPA388
**************************
.subckt OL_SENSE_OPA388 1   2  3  4
GSW+ 1 2 Value = {IF((V(3,1) > 10e-3 | V(4,1) > 10e-3), 1, 0)}
.ends OL_SENSE_OPA388
**************************
.subckt FEMT_OPA388 1 2
.param FLWF=1e-2
.param GLFF=0.151
.param RNVF=11840
.model DVNF D KF = {PWR(FLWF, 0.5) / 1E11} IS = 1.0e-16
I1 0 7 10e-3
I2 0 8 10e-3
D1 7 0 DVNF
D2 8 0 DVNF
* E1 3 6 7 8 {GLFF}
E1 3 6 VALUE = {V(7,8) * GLFF}
R1 3 0 1e9
R2 3 0 1e9
R3 3 6 1e9
E2 6 4 5 0 10
R4 5 0 {RNVF}
R5 5 0 {RNVF}
R6 3 4 1e9
R7 4 0 1e9
G1 1 2 3 4 1e-6
.ends FEMT_OPA388
**************************
.subckt VCCS_EXT_LIM_OPA388 VINplus VINminus IOUT- IOUT+ VPplus VPminus
.param Gain = 1
G1 IOUT+ IOUT- VALUE = {LIMIT(Gain * V(VINplus, VINminus), V(VPminus,VINminus), V(VPplus,VINminus))}
.ends VCCS_EXT_LIM_OPA388
**************************
.subckt VCCS_LIM_3_OPA388 VCplus VCminus IOUT+ IOUT-
.param Gain = 1
.param Ipos = 34e-3
.param Ineg = -34e-3
G1 IOUT+ IOUT- VALUE = {LIMIT(Gain * V(VCplus,VCminus), Ineg, Ipos)}
.ends VCCS_LIM_3_OPA388
**************************
.subckt VCCS_LIM_4_OPA388 VCplus VCminus IOUT+ IOUT-
.param Gain = 1
.param Ipos = 68e-3
.param Ineg = -68e-3
G1 IOUT+ IOUT- VALUE = {LIMIT(Gain * V(VCplus,VCminus), Ineg, Ipos)}
.ends VCCS_LIM_4_OPA388
**************************
.subckt VCCS_LIM_CLAWp_OPA388 VCplus VCminus IOUT+ IOUT-
G1 IOUT+ IOUT- TABLE {abs(V(VCplus,VCminus))} =
+(0, 2e-5)
+(10, 1.2e-4 )
+(22, 2.6e-4)
+(45, 6.5e-4)
+(53, 8.8e-4)
+(60, 1.24e-3)
+(63, 1.53e-3)
+(66, 2.55e-3)
.ends VCCS_LIM_CLAWp_OPA388
**************************
.subckt VCCS_LIM_CLAWn_OPA388 VCplus VCminus IOUT+ IOUT-
G1 IOUT+ IOUT- TABLE {abs(V(VCplus,VCminus))} =
+(0, 2e-5)
+(11, 3e-4)
+(87, 2.75e-3)
.ends VCCS_LIM_CLAWn_OPA388
**************************
.subckt VCCS_LIM_IQ_OPA388 VCplus VCminus IOUT+ IOUT-
.param Gain = 1e-3
G1 IOUT+ IOUT- VALUE = {IF((V(VCplus,VCminus) <= 0), 0, Gain * V(VCplus,VCminus))}
.ends VCCS_LIM_IQ_OPA388
**************************
.subckt VNSE_OPA388 1 2
.param FLW=1e-3
.param GLF=1.085e-3
.param RNV=59.6854
.model DVN D KF = {PWR(FLW,0.5) / 1E11} IS = 1.0E-16
I1 0 7 10E-3
I2 0 8 10E-3
D1 7 0 DVN
D2 8 0 DVN
* E1 3 6 7 8 {GLF}
E1 3 6 VALUE = {V(7,8) * GLF}
R1 3 0 1E9
R2 3 0 1E9
R3 3 6 1E9
E2 6 4 5 0 10
R4 5 0 {RNV}
R5 5 0 {RNV}
R6 3 4 1E9
R7 4 0 1E9
E3 1 2 3 4 1
.ends VNSE_OPA388
**************************
.subckt CLAMP_AMP_LO_OPA388 VCplus VCminus VIN COM VO+ VO-
.param Gain = 1
GVo+ COM VO+ Value = {IF(V(VIN,COM) > V(VCplus,COM), ((V(VIN,COM) - V(VCplus,COM)) * Gain), 0)}
GVo- COM VO- Value = {IF(V(VIN,COM) < V(VCminus,COM), ((V(VCminus,COM) - V(VIN,COM)) * Gain), 0)}
.ends CLAMP_AMP_LO_OPA388
**************************
.subckt VCCS_LIM_GR_OPA388 VCplus VCminus IOUT+ IOUT-
.param Gain = 1
.param Ipos = 5.12
.param Ineg = -5.12
G1 IOUT+ IOUT- VALUE = {LIMIT(Gain * V(VCplus,VCminus), Ineg, Ipos)}
.ends VCCS_LIM_GR_OPA388
**************************
.subckt VCCS_LIM_1_OPA388 VCplus VCminus IOUT+ IOUT-
.param Gain = 1e-4
.param Ipos = .5
.param Ineg = -.5
G1 IOUT+ IOUT- VALUE = {LIMIT(Gain * V(VCplus,VCminus), Ineg, Ipos)}
.ends VCCS_LIM_1_OPA388
**************************
.subckt VCCS_LIM_2_OPA388 VCplus VCminus IOUT+ IOUT-
.param Gain = 251.19e-3
.param Ipos = 2.51
.param Ineg = -2.51
G1 IOUT+ IOUT- VALUE = {LIMIT(Gain * V(VCplus,VCminus), Ineg, Ipos)}
.ends VCCS_LIM_2_OPA388
**************************
.subckt VCCS_LIM_ZO_OPA388 VCplus VCminus IOUT+ IOUT-
.param Gain = 1250
.param Ipos = 2.2e3
.param Ineg = -2.2e3
G1 IOUT+ IOUT- VALUE = {LIMIT(Gain * V(VCplus,VCminus), Ineg, Ipos)}
.ends VCCS_LIM_ZO_OPA388
******************************************************************************

  • Hi George,

    I have tried to run with the following .lib file in PSPice and the model runs fine. 

    **************************************************  OPA388 .lib file *************************************************************

    * OPA388 - Rev. A
    * Created by Ian Williams; December 07, 2016
    * Created with Green-Williams-Lis Op Amp Macro-model Architecture
    * Copyright 2016 by Texas Instruments Corporation
    ******************************************************
    * MACRO-MODEL SIMULATED PARAMETERS:
    ******************************************************
    * OPEN-LOOP GAIN AND PHASE VS. FREQUENCY WITH RL, CL EFFECTS (Aol)
    * UNITY GAIN BANDWIDTH (GBW)
    * INPUT COMMON-MODE REJECTION RATIO VS. FREQUENCY (CMRR)
    * POWER SUPPLY REJECTION RATIO VS. FREQUENCY (PSRR)
    * DIFFERENTIAL INPUT IMPEDANCE (Zid)
    * COMMON-MODE INPUT IMPEDANCE (Zic)
    * OPEN-LOOP OUTPUT IMPEDANCE VS. FREQUENCY (Zo)
    * OUTPUT CURRENT THROUGH THE SUPPLY (Iout)
    * INPUT VOLTAGE NOISE DENSITY VS. FREQUENCY (en)
    * INPUT CURRENT NOISE DENSITY VS. FREQUENCY (in)
    * OUTPUT VOLTAGE SWING vs. OUTPUT CURRENT (Vo)
    * SHORT-CIRCUIT OUTPUT CURRENT (Isc)
    * QUIESCENT CURRENT (Iq)
    * SETTLING TIME VS. CAPACITIVE LOAD (ts)
    * SLEW RATE (SR)
    * SMALL SIGNAL OVERSHOOT VS. CAPACITIVE LOAD
    * LARGE SIGNAL RESPONSE
    * OVERLOAD RECOVERY TIME (tor)
    * INPUT BIAS CURRENT (Ib)
    * INPUT OFFSET CURRENT (Ios)
    * INPUT OFFSET VOLTAGE (Vos)
    * INPUT COMMON-MODE VOLTAGE RANGE (Vcm)
    * INPUT OFFSET VOLTAGE VS. INPUT COMMON-MODE VOLTAGE (Vos vs. Vcm)
    * INPUT/OUTPUT ESD CELLS (ESDin, ESDout)
    ******************************************************
    .subckt OPA388 IN+ IN- VCC VEE OUT
    ******************************************************
    * MODEL DEFINITIONS:
    .model BB_SW VSWITCH(Ron=50 Roff=1e12 Von=700e-3 Voff=0)
    .model ESD_SW VSWITCH(Ron=50 Roff=1e12 Von=500e-3 Voff=100e-3)
    .model OL_SW VSWITCH(Ron=1e-3 Roff=1e12 Von=900e-3 Voff=800e-3)
    .model OR_SW VSWITCH(Ron=10e-3 Roff=1e12 Von=1e-3 Voff=0)
    .model R_NOISELESS RES(T_ABS=-273.15)
    ******************************************************
    V_OS N036 N046 -240.202e-9
    R1 N039 N037 R_NOISELESS 1e-3
    R2 N055 ESDn R_NOISELESS 1e-3
    R3 N083 0 R_NOISELESS 1e12
    C1 N083 0 1
    R4 VCC_B N082 R_NOISELESS 1e-3
    C2 N082 0 1e-15
    C3 N084 0 1e-15
    R5 N084 VEE_B R_NOISELESS 1e-3
    G1 N039 N040 N005 N012 1e-3
    R6 MID N051 R_NOISELESS 1e12
    VCM_MIN N054 VEE_B -0.1
    R7 N054 MID R_NOISELESS 1e12
    VCM_MAX N051 VCC_B +0.1
    XVCM_CLAMP N040 MID N047 MID N051 N054 VCCS_EXT_LIM
    R8 N047 MID R_NOISELESS 1
    C4 N048 MID 1e-15
    R9 N047 N048 R_NOISELESS 1e-3
    V4 N076 OUT 0
    R10 MID N056 R_NOISELESS 1e12
    R11 MID N057 R_NOISELESS 1e12
    XIQ+ VIMON MID VCC MID VCCS_LIM_IQ
    XIQ- MID VIMON MID VEE VCCS_LIM_IQ
    R12 VCC_B N013 R_NOISELESS 1e3
    R13 N026 VEE_B R_NOISELESS 1e3
    XCLAWp VIMON MID N013 VCC_B VCCS_LIM_CLAWp
    XCLAWn MID VIMON VEE_B N026 VCCS_LIM_CLAWn
    R14 VEE_CLP MID R_NOISELESS 1e3
    R15 MID VCC_CLP R_NOISELESS 1e3
    R16 N014 N013 R_NOISELESS 1e-3
    R17 N027 N026 R_NOISELESS 1e-3
    C5 MID N014 1e-15
    C6 N027 MID 1e-15
    R18 VOUT_S N057 R_NOISELESS 100
    C7 VOUT_S MID 1e-9
    G2 MID VCC_CLP N014 MID 1e-3
    G3 MID VEE_CLP N027 MID 1e-3
    XCL_AMP N009 N038 VIMON MID N017 N024 CLAMP_AMP_LO
    V_ISCp N009 MID 60
    V_ISCn N038 MID -60
    XOL_SENSE MID N044 N043 N053 OL_SENSE
    R19 N038 MID R_NOISELESS 1e12
    R20 N024 MID R_NOISELESS 1
    C8 N025 MID 1e-15
    R21 MID N017 R_NOISELESS 1
    R22 MID N009 R_NOISELESS 1e12
    C9 MID N018 1e-15
    XCLAW_AMP VCC_CLP VEE_CLP VOUT_S MID N015 N022 CLAMP_AMP_LO
    R23 VEE_CLP MID R_NOISELESS 1e12
    R24 N022 MID R_NOISELESS 1
    C10 N023 MID 1e-15
    R25 MID N015 R_NOISELESS 1
    R26 MID VCC_CLP R_NOISELESS 1e12
    C11 MID N016 1e-15
    XCL_SRC N018 N025 CL_CLAMP MID VCCS_LIM_4
    XCLAW_SRC N016 N023 CLAW_CLAMP MID VCCS_LIM_3
    R27 N015 N016 R_NOISELESS 1e-3
    R28 N023 N022 R_NOISELESS 1e-3
    R29 N017 N018 R_NOISELESS 1e-3
    R30 N025 N024 R_NOISELESS 1e-3
    R31 N044 MID R_NOISELESS 1
    R32 N044 SW_OL R_NOISELESS 100
    C12 SW_OL MID 1e-12
    R33 VIMON N056 R_NOISELESS 100
    C13 VIMON MID 1e-9
    C_DIFF ESDp ESDn 2e-12
    C_CMn ESDn MID 4.5e-12
    C_CMp MID ESDp 4.5e-12
    I_Q VCC VEE 1.9e-3
    I_B N040 MID 30e-12
    I_OS N055 MID -30e-12
    R34 IN+ ESDp R_NOISELESS 10e-3
    R35 IN- ESDn R_NOISELESS 10e-3
    R36 N034 MID R_NOISELESS 1
    R37 N041 MID R_NOISELESS 1e12
    R38 MID N020 R_NOISELESS 1
    R39 MID N010 R_NOISELESS 1e12
    XGR_AMP N010 N041 N019 MID N020 N034 CLAMP_AMP_HI
    XGR_SRC N021 N035 CLAMP MID VCCS_LIM_GR
    C17 MID N021 1e-15
    C18 N035 MID 1e-15
    V_GRn N041 MID -17
    V_GRp N010 MID 17
    R40 N020 N021 R_NOISELESS 1e-3
    R41 N035 N034 R_NOISELESS 1e-3
    R42 VSENSE N019 R_NOISELESS 1e-3
    C19 MID N019 1e-15
    R43 MID VSENSE R_NOISELESS 1e3
    G5 N036 N037 N008 MID 1e-3
    G8 MID CLAW_CLAMP N077 MID 1e-3
    R45 MID CLAW_CLAMP R_NOISELESS 1e3
    G9 MID CL_CLAMP CLAW_CLAMP MID 1e-3
    R46 MID CL_CLAMP R_NOISELESS 1e3
    R47 N062 VCLP R_NOISELESS 100
    C24 MID VCLP 1e-12
    E4 N062 MID CL_CLAMP MID 1
    E5 N057 MID OUT MID 1
    H1 N056 MID V4 1e3
    S1 N059 N058 SW_OL MID OL_SW
    R52 MID ESDp R_NOISELESS 1e12
    R53 ESDn MID R_NOISELESS 1e12
    R58 N037 N036 R_NOISELESS 1e3
    R59 N082 N083 R_NOISELESS 1e6
    R60 N083 N084 R_NOISELESS 1e6
    R67 N040 N039 R_NOISELESS 1e3
    G15 MID VSENSE CLAMP MID 1e-3
    V_ORp N033 VCLP 10.5
    V_ORn N028 VCLP -10.5
    V11 N030 N029 0
    V12 N031 N032 0
    H3 N042 MID V12 10
    S6 VCC OUT OUT VCC ESD_SW
    S7 OUT VEE VEE OUT ESD_SW
    E1 MID 0 N083 0 1
    G16 0 VCC_B VCC 0 1
    G17 0 VEE_B VEE 0 1
    R88 VCC_B 0 R_NOISELESS 1
    R89 VEE_B 0 R_NOISELESS 1
    S8 N031 CLAMP CLAMP N031 OR_SW
    S9 CLAMP N030 N030 CLAMP OR_SW
    Xi_nn ESDn MID FEMT
    Xi_np N046 MID FEMT
    XVCCS_LIMIT_1 N048 N055 MID N049 VCCS_LIM_1
    XVCCS_LIMIT_2 N049 MID MID CLAMP VCCS_LIM_2
    R44 N049 MID R_NOISELESS 1e6
    R68 CLAMP MID R_NOISELESS 1e6
    G7 MID N050 VSENSE MID 1e-6
    R69 N050 MID R_NOISELESS 1e6
    G10 MID N029 N028 MID 1
    R73 N029 MID R_NOISELESS 1
    G11 MID N032 N033 MID 1
    R74 N032 MID R_NOISELESS 1
    H2 N052 MID V11 -10
    Xe_n N046 N045 VNSE
    R51 N045 ESDp R_NOISELESS 1e-3
    S2 VCC ESDn ESDn VCC ESD_SW
    S3 VCC ESDp ESDp VCC ESD_SW
    S4 ESDn VEE VEE ESDn ESD_SW
    S5 ESDp VEE VEE ESDp ESD_SW
    R71 N043 N042 R_NOISELESS 100
    R72 N053 N052 R_NOISELESS 100
    C27 N043 MID 1e-12
    C28 N053 MID 1e-12
    Rx N076 N075 R_NOISELESS 17.5e3
    Rdummy N076 MID R_NOISELESS 1.75e3
    G30 MID N058 CL_CLAMP N076 237.45e3
    Rdc3 N058 MID R_NOISELESS 1
    R110 N058 N059 R_NOISELESS 1e4
    R111 N059 MID R_NOISELESS 9090.91
    G31 MID N063 N059 MID 2.1
    C41 N059 N058 3.98e-5
    R112 N063 MID R_NOISELESS 1
    R113 N063 N064 R_NOISELESS 62.73e3
    R114 N064 N078 R_NOISELESS 1e4
    C42 MID N078 7.96e-9
    R115 N067 MID R_NOISELESS 1
    R116 N069 N070 R_NOISELESS 1e4
    R117 N070 MID R_NOISELESS 3.61e3
    G32 MID N071 N070 MID 3.77
    C43 N070 N069 4.08e-11
    R118 N071 MID R_NOISELESS 1
    R119 N065 MID R_NOISELESS 1
    R120 N065 N066 R_NOISELESS 1.9e5
    R121 N066 N079 R_NOISELESS 1e4
    C44 MID N079 7.96e-10
    R122 N069 MID R_NOISELESS 1
    R123 N067 N068 R_NOISELESS 7.7e5
    R124 N068 N080 R_NOISELESS 1e4
    C45 MID N080 4.08e-11
    R125 N073 N074 R_NOISELESS 1e4
    R126 N074 MID R_NOISELESS 8
    C46 N074 N073 2e-13
    R127 N075 MID R_NOISELESS 1
    R128 N073 MID R_NOISELESS 1
    R129 N071 N072 R_NOISELESS 1e4
    R130 N072 N081 R_NOISELESS 1e4
    C47 MID N081 5.3e-13
    G33 MID N065 N064 MID 1
    G34 MID N067 N066 MID 1
    G35 MID N069 N068 MID 1
    G36 MID N073 N072 MID 1
    XVCCS_LIM_ZO N074 MID MID N075 VCCS_LIM_ZO
    Rdc1 N060 MID R_NOISELESS 1
    R61 N060 N061 R_NOISELESS 1e4
    R62 N061 MID R_NOISELESS 11.11e3
    G13 MID N077 N061 MID 1.9e-3
    C21 N061 N060 1.59e-12
    R63 N077 MID R_NOISELESS 1e3
    G18 MID N060 N050 MID 1
    C23 CLAMP MID 4.57e-7
    C25 N050 MID 1.59e-15
    C20 N003 N004 1.105e-12
    R70 N003 MID R_NOISELESS 98.728e3
    R75 N003 N004 R_NOISELESS 1e8
    G_adjust1 MID N004 VCC_B MID 101.4e-6
    Rsrc8 N004 MID R_NOISELESS 1
    G20 MID N002 MID N003 1
    Rsrc9 N002 MID R_NOISELESS 1
    C22 N001 N002 1.105e-12
    R76 N001 N002 R_NOISELESS 1e8
    R77 N001 MID R_NOISELESS 98.728e3
    G21 MID N005 MID N001 1014
    Rsrc10 N005 MID R_NOISELESS 1
    C15 N012 N011 1.516e-9
    R54 N012 MID R_NOISELESS 61.76
    R55 N012 N011 R_NOISELESS 1e8
    G12 MID N011 VEE_B MID 162e-3
    Rsrc2 N011 MID R_NOISELESS 1
    C14 N007 N006 1.4e-13
    R65 N007 MID R_NOISELESS 357.5e3
    R66 N007 N006 R_NOISELESS 1e8
    G_adjust2 MID N006 ESDp MID 2.8e-5
    Rsrc1 N006 MID R_NOISELESS 1
    G6 MID N008 MID N007 1
    Rsrc3 N008 MID R_NOISELESS 1


    .ends OPA388
    *

    .subckt CLAMP_AMP_HI VC+ VC- VIN COM VO+ VO-
    .param G=10
    GVo+ COM Vo+ Value = {IF(V(VIN,COM)>V(VC+,COM),((V(VIN,COM)-V(VC+,COM))*G),0)}
    GVo- COM Vo- Value = {IF(V(VIN,COM)<V(VC-,COM),((V(VC-,COM)-V(VIN,COM))*G),0)}
    .ends CLAMP_AMP_HI
    *

    .subckt OL_SENSE 1 2 3 4
    GSW+ 1 2 Value = {IF((V(3,1)>10e-3 | V(4,1)>10e-3),1,0)}
    .ends OL_SENSE
    *

    .subckt FEMT 1 2
    .param FLWF=1e-2
    .param GLFF=0.151
    .param RNVF=11840
    .model DVNF D KF={PWR(FLWF,0.5)/1E11} IS=1.0e-16
    I1 0 7 10e-3
    I2 0 8 10e-3
    D1 7 0 DVNF
    D2 8 0 DVNF
    E1 3 6 7 8 {GLFF}

    R1 3 0 1e9
    R2 3 0 1e9
    R3 3 6 1e9
    E2 6 4 5 0 10
    R4 5 0 {RNVF}
    R5 5 0 {RNVF}
    R6 3 4 1e9
    R7 4 0 1e9
    G1 1 2 3 4 1e-6
    .ends FEMT
    *

    .subckt VCCS_EXT_LIM VIN+ VIN- IOUT- IOUT+ VP+ VP-
    .param Gain = 1
    G1 IOUT+ IOUT- VALUE={LIMIT(Gain*V(VIN+,VIN-),V(VP-,VIN-), V(VP+,VIN-))}
    .ends VCCS_EXT_LIM
    *

    .subckt VCCS_LIM_3 VC+ VC- IOUT+ IOUT-
    .param Gain = 1
    .param Ipos = 34e-3
    .param Ineg = -34e-3
    G1 IOUT+ IOUT- VALUE={LIMIT(Gain*V(VC+,VC-),Ineg,Ipos)}
    .ends VCCS_LIM_3
    *

    .subckt VCCS_LIM_4 VC+ VC- IOUT+ IOUT-
    .param Gain = 1
    .param Ipos = 68e-3
    .param Ineg = -68e-3
    G1 IOUT+ IOUT- VALUE={LIMIT(Gain*V(VC+,VC-),Ineg,Ipos)}
    .ends VCCS_LIM_4
    *

    .subckt VCCS_LIM_CLAWp VC+ VC- IOUT+ IOUT-
    G1 IOUT+ IOUT- TABLE {abs(V(VC+,VC-))} =
    +(0, 2e-5)
    +(10, 1.2e-4 )
    +(22, 2.6e-4)
    +(45, 6.5e-4)
    +(53, 8.8e-4)
    +(60, 1.24e-3)
    +(63, 1.53e-3)
    +(66, 2.55e-3)
    .ends VCCS_LIM_CLAWp
    *

    .subckt VCCS_LIM_CLAWn VC+ VC- IOUT+ IOUT-
    G1 IOUT+ IOUT- TABLE {abs(V(VC+,VC-))} =
    +(0, 2e-5)
    +(11, 3e-4)
    +(87, 2.75e-3)
    .ends VCCS_LIM_CLAWn
    *

    .subckt VCCS_LIM_IQ VC+ VC- IOUT+ IOUT-
    .param Gain = 1e-3
    G1 IOUT+ IOUT- VALUE={IF( (V(VC+,VC-)<=0),0,Gain*V(VC+,VC-) )}
    .ends VCCS_LIM_IQ
    *

    .subckt VNSE 1 2
    .param FLW=1e-3
    .param GLF=1.085e-3
    .param RNV=59.6854
    .model DVN D KF={PWR(FLW,0.5)/1E11} IS=1.0E-16
    I1 0 7 10E-3
    I2 0 8 10E-3
    D1 7 0 DVN
    D2 8 0 DVN
    E1 3 6 7 8 {GLF}

    R1 3 0 1E9
    R2 3 0 1E9
    R3 3 6 1E9
    E2 6 4 5 0 10
    R4 5 0 {RNV}
    R5 5 0 {RNV}
    R6 3 4 1E9
    R7 4 0 1E9
    E3 1 2 3 4 1
    .ends VNSE
    *

    .subckt CLAMP_AMP_LO VC+ VC- VIN COM VO+ VO-
    .param G=1
    GVo+ COM Vo+ Value = {IF(V(VIN,COM)>V(VC+,COM),((V(VIN,COM)-V(VC+,COM))*G),0)}
    GVo- COM Vo- Value = {IF(V(VIN,COM)<V(VC-,COM),((V(VC-,COM)-V(VIN,COM))*G),0)}
    .ends CLAMP_AMP_LO
    *

    .subckt VCCS_LIM_GR VC+ VC- IOUT+ IOUT-
    .param Gain = 1
    .param Ipos = 5.12
    .param Ineg = -5.12
    G1 IOUT+ IOUT- VALUE={LIMIT(Gain*V(VC+,VC-),Ineg,Ipos)}
    .ends VCCS_LIM_GR
    *

    .subckt VCCS_LIM_1 VC+ VC- IOUT+ IOUT-
    .param Gain = 1e-4
    .param Ipos = .5
    .param Ineg = -.5
    G1 IOUT+ IOUT- VALUE={LIMIT(Gain*V(VC+,VC-),Ineg,Ipos)}
    .ends VCCS_LIM_1
    *

    .subckt VCCS_LIM_2 VC+ VC- IOUT+ IOUT-
    .param Gain =251.19e-3
    .param Ipos = 2.51
    .param Ineg = -2.51
    G1 IOUT+ IOUT- VALUE={LIMIT(Gain*V(VC+,VC-),Ineg,Ipos)}
    .ends VCCS_LIM_2
    *

    .subckt VCCS_LIM_ZO VC+ VC- IOUT+ IOUT-
    .param Gain = 1250
    .param Ipos = 2.2e3
    .param Ineg = -2.2e3
    G1 IOUT+ IOUT- VALUE={LIMIT(Gain*V(VC+,VC-),Ineg,Ipos)}
    .ends VCCS_LIM_ZO
    *

    **************************************************  End of OPA388 .lib file *************************************************************

    There is just one difference between the .lib you are using and the .lib I have used. The difference is 

    * E1 3 6 7 8 {GLF}    ----> This line is commented in the .lib you are using. Instead, E1 3 6 VALUE = {V(7,8) * GLF} line has been used.

    Please try running the .lib file with E1 3 6 7 8 {GLF}

    Please let me know whether it works.

    Thanks and Regards,

    Joyeeta

     

     

  • Joyeeta:

    Thank you for your comment.

    The change "E1 3 6 7 8 {GLF}" to "E1 3 6 VALUE = {V(7,8) * GLF} is a necessary syntax change. I'm using version 8.0 (full, not student) of the DesignCenter, which includes PSPICE A/D. I (obviously, since it dates from 1998) have a great deal of experience with this, and the syntax "E1 3 6 7 8 {GLF} is not supported. The same can be said of the "VC+" used in evaluated expressions, as the parser thinks the "+" indicates summation and looks for the other term. I changed all such terms, i.e. VC+ -> VCplus. These syntax differences with PSPICE may be supported in the current version, but I used version 14, in another life, and they didn't appear to be?
    I'm testing the follower with ±2.5V supplies and an input on the + of the amplifier of VPWL 0s 0V 5ms 2.5V 15ms -2.5V 20ms 0V. Since the follower has the output connected to the - input of the amplifier and the VPWL connected to the + input, there are only 4 devices, the OPA388, the VPWL and the ±2.5V DC supplies. The simulation time is 20ms.
    This test circuit fails to converge at 5ms - δ (≈ 5.967ms), right before the change in slope from +0.5V/ms to -0.5V/ms. The convergence failure is a minimum timestep failure due the 15 digit dynamic range of time limitation. Changing Reltol, Vntol and Chgtol over a couple decades each, does not bring joy.

    Most interestingly if I increase the DC supply voltages to ±3.0V, the simulation completes normally, *for the follower test circuit only*.

    This is a large and complex model, for which I can find no documentation, so recreating the circuit for troubleshooting is not practical? Perhaps my change(s) weren't done correctly, though I doubt it as they were for syntax only.

    Perhaps a minimum load is required on the output? but I tried 10k, and 1k without joy.

    It just appears to me that there is something wrong with the model? My actual circuit may have problems of its own, but the follower with 4 devices, 3 of them voltage supplies, should run without incident.
  • Hi George,

    Thank you for sharing a glimpse of syntax changes required for version 8.0.

    I have run the same model today without any change with the same test case (voltage follower with ±2.5V supplies and an input on the + of the amplifier of VPWL 0s 0V 5ms 2.5V 15ms -2.5V 20ms 0V), and it converged for all points. 

    The same model is running fine with/without load. 

    Could you please give it a try with a Maximum step size of 20ns? 

    Thanks and Regards,

    Joyeeta

     

  • Joyeeta:

    I ran the simulation with a 20ns maximum timestep.  The simulation fails to converge at 303µs instead of 4.967ms.  I've inserted the 20ns simulation below, this forum needs a way to attach files, instead of inlining them.

    I don't know if you are involved in model generation, but the syntax changes are necessary for version 8.0, and last time I checked version 14.  But in any event, if they are not needed by the current version, they changed somewhere along the way, and using the *older* form, the form I've used, is much more robust.  Because it can be used by any version (back to 6.0), the 8.0 student versions, and etc.  I have mentioned this to TI before.  These changes no loss of functionality, but greatly increase generality of use.


    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***

     * OPA388 test schematic.sch


     ****     CIRCUIT DESCRIPTION


    ******************************************************************************




    * Schematics Version 8.0 - July 1997
    * Tue May 23 10:25:32 2017



    ** Analysis setup **
    .tran 20ns 20ms 0 20ns
    .OP
    .LIB "..\UserLib\TI.lib"


    * From [SCHEMATICS NETLIST] section of msim.ini:
    .lib "..\library\nom.lib"

    .INC "OPA388 test schematic.net"

    **** INCLUDING "OPA388 test schematic.net" ****
    * Schematics Netlist *



    X__U1         $GHB_0001 $GHB_0002 F_2_5V F_-2_5V $GHB_0002 OPA388
    V_V3         $GHB_0001 0 DC 0V AC 0V
    +PWL 0s 0V 5ms 2.5V 15ms -2.5V 20ms 0V
    V_V1         F_2_5V 0 2.5V
    V_V2         F_-2_5V 0 -2.5V

    **** RESUMING "OPA388 test schematic.cir" ****
    .INC "OPA388 test schematic.als"



    **** INCLUDING "OPA388 test schematic.als" ****
    * Schematics Aliases *

    .ALIASES
    X__U1            U1(+=$GHB_0001 -=$GHB_0002 V+=F_2_5V V-=F_-2_5V OUT=$GHB_0002
    +  )
    V_V3            V3(+=$GHB_0001 -=0 )
    V_V1            V1(+=F_2_5V -=0 )
    V_V2            V2(+=F_-2_5V -=0 )
    _    _(F_2.5V=F_2_5V)
    _    _(F_-2.5V=F_-2_5V)
    .ENDALIASES


    **** RESUMING "OPA388 test schematic.cir" ****
    .probe


    .END

    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***

     * OPA388 test schematic.sch


     ****     Diode MODEL PARAMETERS


    ******************************************************************************




                   X__U1.Xi_nn.DVNF
              IS  100.000000E-18
              KF    1.000000E-12


                   X__U1.Xi_np.DVNF                X__U1.Xe_n.DVN  
              IS  100.000000E-18                  100.000000E-18
              KF    1.000000E-12                  316.227800E-15


    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***

     * OPA388 test schematic.sch


     ****     Resistor MODEL PARAMETERS


    ******************************************************************************




                   X__U1.R_NOISELESS
      T_Measured   27            
       T_Current -273.15         
               R    1            


    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***

     * OPA388 test schematic.sch


     ****     Voltage Controlled Switch MODEL PARAMETERS


    ******************************************************************************




                   X__U1.BB_SW     X__U1.ESD_SW    X__U1.OL_SW     X__U1.OR_SW     
             RON   50              50               1.000000E-03     .01         
            ROFF    1.000000E+12    1.000000E+12    1.000000E+12    1.000000E+12
             VON     .7              .5              .9             1.000000E-03
            VOFF    0                .1              .8             0            


    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***

     * OPA388 test schematic.sch


     ****     SMALL SIGNAL BIAS SOLUTION       TEMPERATURE =   27.000 DEG C


    ******************************************************************************



     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE


    (F_2_5V)    2.5000 (F_-2_5V)   -2.5000                   ($GHB_0001)    0.0000  

    ($GHB_0002) 200.0E-09                 (X__U1.MID) 222.0E-18                     

    (X__U1.ESDn) 200.0E-09                (X__U1.ESDp)-300.0E-15                    

    (X__U1.N001)-246.6E-12                (X__U1.N002)-250.0E-09                    

    (X__U1.N003) 250.0E-09                (X__U1.N004) 253.5E-06                    

    (X__U1.N005) 250.1E-09                (X__U1.N006) 213.6E-18                    

    (X__U1.N007) 222.0E-18                (X__U1.N008) 222.1E-18                    

    (X__U1.N009)   60.0000                (X__U1.N010)   17.0000                    

    (X__U1.N011)    -.4050                (X__U1.N012)-250.1E-09                    

    (X__U1.N013)    2.4800                (X__U1.N014)    2.4800                    

    (X__U1.N015) 222.0E-18                (X__U1.N016) 222.0E-18                    

    (X__U1.N017) 222.0E-18                (X__U1.N018) 222.0E-18                    

    (X__U1.N019) 200.0E-09                (X__U1.N020) 222.0E-18                    

    (X__U1.N021) 222.0E-18                (X__U1.N022) 222.0E-18                    

    (X__U1.N023) 222.0E-18                (X__U1.N024) 222.0E-18                    

    (X__U1.N025) 222.0E-18                (X__U1.N026)   -2.4800                    

    (X__U1.N027)   -2.4800                (X__U1.N028)  -10.5000                    

    (X__U1.N029)  -10.5000                (X__U1.N030)  -10.5000                    

    (X__U1.N031)   10.5000                (X__U1.N032)   10.5000                    

    (X__U1.N033)   10.5000                (X__U1.N034) 222.0E-18                    

    (X__U1.N035) 222.0E-18                (X__U1.N036)-240.2E-09                    

    (X__U1.N037)-270.2E-09                (X__U1.N038)  -60.0000                    

    (X__U1.N039)-270.2E-09                (X__U1.N040) 200.0E-09                    

    (X__U1.N041)  -17.0000                (X__U1.N042)-210.0E-12                    

    (X__U1.N043)-210.0E-12                (X__U1.N044) 222.0E-18                    

    (X__U1.N045)-330.0E-15                (X__U1.N046)-330.0E-15                    

    (X__U1.N047) 200.0E-09                (X__U1.N048) 200.0E-09                    

    (X__U1.N049) 796.5E-15                (X__U1.N050) 200.0E-09                    

    (X__U1.N051)    2.6000                (X__U1.N052)-210.0E-12                    

    (X__U1.N053)-210.0E-12                (X__U1.N054)   -2.6000                    

    (X__U1.N055) 200.0E-09                (X__U1.N056)-29.99E-09                    

    (X__U1.N057) 200.0E-09                (X__U1.N058) 1.677E-06                    

    (X__U1.N059) 798.5E-09                (X__U1.N060) 200.0E-09                    

    (X__U1.N061) 105.3E-09                (X__U1.N062) 200.0E-09                    

    (X__U1.N063) 1.677E-06                (X__U1.N064) 1.677E-06                    

    (X__U1.N065) 1.677E-06                (X__U1.N066) 1.677E-06                    

    (X__U1.N067) 1.677E-06                (X__U1.N068) 1.677E-06                    

    (X__U1.N069) 1.677E-06                (X__U1.N070) 444.7E-09                    

    (X__U1.N071) 1.677E-06                (X__U1.N072) 1.677E-06                    

    (X__U1.N073) 1.676E-06                (X__U1.N074) 1.340E-09                    

    (X__U1.N075) 1.675E-06                (X__U1.N076) 200.0E-09                    

    (X__U1.N077) 200.0E-09                (X__U1.N078) 1.677E-06                    

    (X__U1.N079) 1.677E-06                (X__U1.N080) 1.677E-06                    

    (X__U1.N081) 1.677E-06                (X__U1.N082)    2.5000                    

    (X__U1.N083) 211.8E-18                (X__U1.N084)   -2.5000                    

    (X__U1.VCLP) 200.0E-09                (X__U1.CLAMP) 200.0E-09                   

    (X__U1.SW_OL) 222.0E-18               (X__U1.VCC_B)    2.5000                   

    (X__U1.VEE_B)   -2.5000               (X__U1.VIMON)-29.99E-09                   

    (X__U1.VOUT_S) 200.0E-09              (X__U1.VSENSE) 200.0E-09                  

    (X__U1.Xe_n.3)    0.0000              (X__U1.Xe_n.4)    0.0000                  

    (X__U1.Xe_n.5)    0.0000              (X__U1.Xe_n.6)    0.0000                  

    (X__U1.Xe_n.7)     .8338              (X__U1.Xe_n.8)     .8338                  

    (X__U1.VCC_CLP)    2.4800             (X__U1.VEE_CLP)   -2.4800                 

    (X__U1.Xi_nn.3)    0.0000             (X__U1.Xi_nn.4)    0.0000                 

    (X__U1.Xi_nn.5)    0.0000             (X__U1.Xi_nn.6)    0.0000                 

    (X__U1.Xi_nn.7)     .8338             (X__U1.Xi_nn.8)     .8338                 

    (X__U1.Xi_np.3)    0.0000             (X__U1.Xi_np.4)    0.0000                 

    (X__U1.Xi_np.5)    0.0000             (X__U1.Xi_np.6)    0.0000                 

    (X__U1.Xi_np.7)     .8338             (X__U1.Xi_np.8)     .8338                 

    (X__U1.CL_CLAMP) 200.0E-09            (X__U1.CLAW_CLAMP) 200.0E-09          




        VOLTAGE SOURCE CURRENTS
        NAME         CURRENT

        V_V3        -3.000E-11
        V_V1        -1.900E-03
        V_V2         1.900E-03
        X__U1.V_OS  -3.000E-11
        X__U1.VCM_MIN   2.600E-12
        X__U1.VCM_MAX  -2.599E-12
        X__U1.V4    -2.999E-11
        X__U1.V_ISCp  -6.000E-11
        X__U1.V_ISCn   6.000E-11
        X__U1.V_GRn   1.700E-11
        X__U1.V_GRp  -1.700E-11
        X__U1.V_ORp   0.000E+00
        X__U1.V_ORn   0.000E+00
        X__U1.V11    2.100E-11
        X__U1.V12   -2.100E-11

        TOTAL POWER DISSIPATION   9.50E-03  WATTS


    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***

     * OPA388 test schematic.sch


     ****     OPERATING POINT INFORMATION      TEMPERATURE =   27.000 DEG C


    ******************************************************************************






    **** VOLTAGE-CONTROLLED CURRENT SOURCES


    NAME         X__U1.G1    X__U1.G2    X__U1.G3    X__U1.G5    X__U1.G8  
    I-SOURCE     5.002E-10   2.480E-03  -2.480E-03   2.995E-23   2.000E-10

    NAME         X__U1.G9    X__U1.G15   X__U1.G16   X__U1.G17   X__U1.G7  
    I-SOURCE     2.000E-10   2.000E-10   2.500E+00  -2.500E+00   2.000E-13

    NAME         X__U1.G10   X__U1.G11   X__U1.G30   X__U1.G31   X__U1.G32
    I-SOURCE    -1.050E+01   1.050E+01   1.677E-06   1.677E-06   1.677E-06

    NAME         X__U1.G33   X__U1.G34   X__U1.G35   X__U1.G36   X__U1.G13
    I-SOURCE     1.677E-06   1.677E-06   1.677E-06   1.677E-06   2.000E-10

    NAME         X__U1.G18   X__U1.G_adjust1         X__U1.G20   X__U1.G21
    I-SOURCE     2.000E-07   2.535E-04              -2.500E-07   2.501E-07

    NAME         X__U1.G12   X__U1.G_adjust2         X__U1.G6  
    I-SOURCE    -4.050E-01  -8.406E-18               2.995E-20

    NAME         X__U1.XVCM_CLAMP.G1     X__U1.XIQ+.G1         
    I-SOURCE     2.000E-07               0.000E+00            

    NAME         X__U1.XIQ-.G1           X__U1.XCLAWp.G1       
    I-SOURCE     2.999E-11               2.000E-05            

    NAME         X__U1.XCLAWn.G1         X__U1.XCL_AMP.GVo+    
    I-SOURCE     2.000E-05               0.000E+00            

    NAME         X__U1.XCL_AMP.GVo-      X__U1.XOL_SENSE.GSW+  
    I-SOURCE     0.000E+00               0.000E+00            

    NAME         X__U1.XCLAW_AMP.GVo+    X__U1.XCLAW_AMP.GVo-  
    I-SOURCE     0.000E+00               0.000E+00            

    NAME         X__U1.XCL_SRC.G1        X__U1.XCLAW_SRC.G1    
    I-SOURCE     0.000E+00               0.000E+00            

    NAME         X__U1.XGR_AMP.GVo+      X__U1.XGR_AMP.GVo-    
    I-SOURCE     0.000E+00               0.000E+00            

    NAME         X__U1.XGR_SRC.G1        X__U1.Xi_nn.G1        
    I-SOURCE     0.000E+00               0.000E+00            

    NAME         X__U1.Xi_np.G1          X__U1.XVCCS_LIMIT_1.G1            
    I-SOURCE     0.000E+00               7.962E-19                        

    NAME         X__U1.XVCCS_LIMIT_2.G1              X__U1.XVCCS_LIM_ZO.G1
    I-SOURCE     2.000E-13                           1.675E-06            


    **** VOLTAGE-CONTROLLED VOLTAGE SOURCES


    NAME         X__U1.E4    X__U1.E5    X__U1.E1    X__U1.Xi_nn.E1        
    V-SOURCE     2.000E-07   2.000E-07   2.118E-16   0.000E+00            
    I-SOURCE     0.000E+00  -2.000E-19   1.292E-14   0.000E+00            

    NAME         X__U1.Xi_nn.E2          X__U1.Xi_np.E1        
    V-SOURCE     0.000E+00               0.000E+00            
    I-SOURCE     0.000E+00               0.000E+00            

    NAME         X__U1.Xi_np.E2          X__U1.Xe_n.E1         
    V-SOURCE     0.000E+00               0.000E+00            
    I-SOURCE     0.000E+00               0.000E+00            

    NAME         X__U1.Xe_n.E2           X__U1.Xe_n.E3         
    V-SOURCE     0.000E+00               0.000E+00            
    I-SOURCE     0.000E+00              -3.000E-11            


    **** CURRENT-CONTROLLED VOLTAGE SOURCES


    NAME         X__U1.H1    X__U1.H3    X__U1.H2  
    V-SOURCE    -2.999E-08  -2.100E-10  -2.100E-10
    I-SOURCE     2.999E-20   0.000E+00   0.000E+00


    **** DIODES


    NAME         X__U1.Xi_nn.D1          X__U1.Xi_nn.D2        
    MODEL        X__U1.Xi_nn.DVNF        X__U1.Xi_nn.DVNF      
    ID           1.00E-02                1.00E-02             
    VD           8.34E-01                8.34E-01             
    REQ          2.59E+00                2.59E+00             
    CAP          0.00E+00                0.00E+00             

    NAME         X__U1.Xi_np.D1          X__U1.Xi_np.D2        
    MODEL        X__U1.Xi_np.DVNF        X__U1.Xi_np.DVNF      
    ID           1.00E-02                1.00E-02             
    VD           8.34E-01                8.34E-01             
    REQ          2.59E+00                2.59E+00             
    CAP          0.00E+00                0.00E+00             

    NAME         X__U1.Xe_n.D1           X__U1.Xe_n.D2         
    MODEL        X__U1.Xe_n.DVN          X__U1.Xe_n.DVN        
    ID           1.00E-02                1.00E-02             
    VD           8.34E-01                8.34E-01             
    REQ          2.59E+00                2.59E+00             
    CAP          0.00E+00                0.00E+00             


    **** VOLTAGE CONTROLLED SWITCHES


    NAME         X__U1.S1                X__U1.S6              
    MODEL        X__U1.OL_SW             X__U1.ESD_SW          
    I LOAD      -8.78E-19                2.50E-12             
    V LOAD      -8.78E-07                2.50E+00             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -0.00E+00               -2.50E+00             

    NAME         X__U1.S7                X__U1.S8              
    MODEL        X__U1.ESD_SW            X__U1.OR_SW           
    I LOAD       2.50E-12                1.05E-11             
    V LOAD       2.50E+00                1.05E+01             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -2.50E+00               -1.05E+01             

    NAME         X__U1.S9                X__U1.S2              
    MODEL        X__U1.OR_SW             X__U1.ESD_SW          
    I LOAD       1.05E-11                2.50E-12             
    V LOAD       1.05E+01                2.50E+00             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -1.05E+01               -2.50E+00             

    NAME         X__U1.S3                X__U1.S4              
    MODEL        X__U1.ESD_SW            X__U1.ESD_SW          
    I LOAD       2.50E-12                2.50E-12             
    V LOAD       2.50E+00                2.50E+00             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -2.50E+00               -2.50E+00             

    NAME         X__U1.S5              
    MODEL        X__U1.ESD_SW          
    I LOAD       2.50E-12             
    V LOAD       2.50E+00             
    R LOAD       1.00E+12             
    V CTRL      -2.50E+00            
    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***

     * OPA388 test schematic.sch


     ****     INITIAL TRANSIENT SOLUTION       TEMPERATURE =   27.000 DEG C


    ******************************************************************************



     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE


    (F_2_5V)    2.5000 (F_-2_5V)   -2.5000                   ($GHB_0001)    0.0000  

    ($GHB_0002) 200.0E-09                 (X__U1.MID) 222.0E-18                     

    (X__U1.ESDn) 200.0E-09                (X__U1.ESDp)-300.0E-15                    

    (X__U1.N001)-246.6E-12                (X__U1.N002)-250.0E-09                    

    (X__U1.N003) 250.0E-09                (X__U1.N004) 253.5E-06                    

    (X__U1.N005) 250.1E-09                (X__U1.N006) 213.6E-18                    

    (X__U1.N007) 222.0E-18                (X__U1.N008) 222.1E-18                    

    (X__U1.N009)   60.0000                (X__U1.N010)   17.0000                    

    (X__U1.N011)    -.4050                (X__U1.N012)-250.1E-09                    

    (X__U1.N013)    2.4800                (X__U1.N014)    2.4800                    

    (X__U1.N015) 222.0E-18                (X__U1.N016) 222.0E-18                    

    (X__U1.N017) 222.0E-18                (X__U1.N018) 222.0E-18                    

    (X__U1.N019) 200.0E-09                (X__U1.N020) 222.0E-18                    

    (X__U1.N021) 222.0E-18                (X__U1.N022) 222.0E-18                    

    (X__U1.N023) 222.0E-18                (X__U1.N024) 222.0E-18                    

    (X__U1.N025) 222.0E-18                (X__U1.N026)   -2.4800                    

    (X__U1.N027)   -2.4800                (X__U1.N028)  -10.5000                    

    (X__U1.N029)  -10.5000                (X__U1.N030)  -10.5000                    

    (X__U1.N031)   10.5000                (X__U1.N032)   10.5000                    

    (X__U1.N033)   10.5000                (X__U1.N034) 222.0E-18                    

    (X__U1.N035) 222.0E-18                (X__U1.N036)-240.2E-09                    

    (X__U1.N037)-270.2E-09                (X__U1.N038)  -60.0000                    

    (X__U1.N039)-270.2E-09                (X__U1.N040) 200.0E-09                    

    (X__U1.N041)  -17.0000                (X__U1.N042)-210.0E-12                    

    (X__U1.N043)-210.0E-12                (X__U1.N044) 222.0E-18                    

    (X__U1.N045)-330.0E-15                (X__U1.N046)-330.0E-15                    

    (X__U1.N047) 200.0E-09                (X__U1.N048) 200.0E-09                    

    (X__U1.N049) 796.5E-15                (X__U1.N050) 200.0E-09                    

    (X__U1.N051)    2.6000                (X__U1.N052)-210.0E-12                    

    (X__U1.N053)-210.0E-12                (X__U1.N054)   -2.6000                    

    (X__U1.N055) 200.0E-09                (X__U1.N056)-29.99E-09                    

    (X__U1.N057) 200.0E-09                (X__U1.N058) 1.677E-06                    

    (X__U1.N059) 798.5E-09                (X__U1.N060) 200.0E-09                    

    (X__U1.N061) 105.3E-09                (X__U1.N062) 200.0E-09                    

    (X__U1.N063) 1.677E-06                (X__U1.N064) 1.677E-06                    

    (X__U1.N065) 1.677E-06                (X__U1.N066) 1.677E-06                    

    (X__U1.N067) 1.677E-06                (X__U1.N068) 1.677E-06                    

    (X__U1.N069) 1.677E-06                (X__U1.N070) 444.7E-09                    

    (X__U1.N071) 1.677E-06                (X__U1.N072) 1.677E-06                    

    (X__U1.N073) 1.676E-06                (X__U1.N074) 1.340E-09                    

    (X__U1.N075) 1.675E-06                (X__U1.N076) 200.0E-09                    

    (X__U1.N077) 200.0E-09                (X__U1.N078) 1.677E-06                    

    (X__U1.N079) 1.677E-06                (X__U1.N080) 1.677E-06                    

    (X__U1.N081) 1.677E-06                (X__U1.N082)    2.5000                    

    (X__U1.N083) 211.8E-18                (X__U1.N084)   -2.5000                    

    (X__U1.VCLP) 200.0E-09                (X__U1.CLAMP) 200.0E-09                   

    (X__U1.SW_OL) 222.0E-18               (X__U1.VCC_B)    2.5000                   

    (X__U1.VEE_B)   -2.5000               (X__U1.VIMON)-29.99E-09                   

    (X__U1.VOUT_S) 200.0E-09              (X__U1.VSENSE) 200.0E-09                  

    (X__U1.Xe_n.3)    0.0000              (X__U1.Xe_n.4)    0.0000                  

    (X__U1.Xe_n.5)    0.0000              (X__U1.Xe_n.6)    0.0000                  

    (X__U1.Xe_n.7)     .8338              (X__U1.Xe_n.8)     .8338                  

    (X__U1.VCC_CLP)    2.4800             (X__U1.VEE_CLP)   -2.4800                 

    (X__U1.Xi_nn.3)    0.0000             (X__U1.Xi_nn.4)    0.0000                 

    (X__U1.Xi_nn.5)    0.0000             (X__U1.Xi_nn.6)    0.0000                 

    (X__U1.Xi_nn.7)     .8338             (X__U1.Xi_nn.8)     .8338                 

    (X__U1.Xi_np.3)    0.0000             (X__U1.Xi_np.4)    0.0000                 

    (X__U1.Xi_np.5)    0.0000             (X__U1.Xi_np.6)    0.0000                 

    (X__U1.Xi_np.7)     .8338             (X__U1.Xi_np.8)     .8338                 

    (X__U1.CL_CLAMP) 200.0E-09            (X__U1.CLAW_CLAMP) 200.0E-09          




        VOLTAGE SOURCE CURRENTS
        NAME         CURRENT

        V_V3        -3.000E-11
        V_V1        -1.900E-03
        V_V2         1.900E-03
        X__U1.V_OS  -3.000E-11
        X__U1.VCM_MIN   2.600E-12
        X__U1.VCM_MAX  -2.599E-12
        X__U1.V4    -2.999E-11
        X__U1.V_ISCp  -6.000E-11
        X__U1.V_ISCn   6.000E-11
        X__U1.V_GRn   1.700E-11
        X__U1.V_GRp  -1.700E-11
        X__U1.V_ORp   0.000E+00
        X__U1.V_ORn   0.000E+00
        X__U1.V11    2.100E-11
        X__U1.V12   -2.100E-11

        TOTAL POWER DISSIPATION   9.50E-03  WATTS


    ERROR -- Convergence problem in transient analysis at Time =  306.3E-06
             Time step =  19.07E-15, minimum allowable step size =  20.00E-15

      These supply currents failed to converge:

        I(X__U1.E1)               =    7.55826e-008 / 7.54517e-008



      Last node voltages tried were:

     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE


    (F_2_5V)    2.5000 (F_-2_5V)   -2.5000                   ($GHB_0001)     .1532  

    ($GHB_0002)     .1532                 (X__U1.MID) 211.8E-18                     

    (X__U1.ESDn)     .1532                (X__U1.ESDp)     .1532                    

    (X__U1.N001)-246.6E-12                (X__U1.N002)-250.0E-09                    

    (X__U1.N003) 250.0E-09                (X__U1.N004) 253.5E-06                    

    (X__U1.N005) 250.1E-09                (X__U1.N006) 4.289E-06                    

    (X__U1.N007) 15.97E-09                (X__U1.N008)-15.97E-09                    

    (X__U1.N009)   60.0000                (X__U1.N010)   17.0000                    

    (X__U1.N011)    -.4050                (X__U1.N012)-250.1E-09                    

    (X__U1.N013)    2.4800                (X__U1.N014)    2.4800                    

    (X__U1.N015) 211.8E-18                (X__U1.N016) 211.8E-18                    

    (X__U1.N017) 211.8E-18                (X__U1.N018) 211.8E-18                    

    (X__U1.N019)     .1532                (X__U1.N020) 211.8E-18                    

    (X__U1.N021) 211.8E-18                (X__U1.N022) 211.8E-18                    

    (X__U1.N023) 211.8E-18                (X__U1.N024) 211.8E-18                    

    (X__U1.N025) 211.8E-18                (X__U1.N026)   -2.4800                    

    (X__U1.N027)   -2.4800                (X__U1.N028)  -10.3470                    

    (X__U1.N029)  -10.3470                (X__U1.N030)  -10.3470                    

    (X__U1.N031)   10.6530                (X__U1.N032)   10.6530                    

    (X__U1.N033)   10.6530                (X__U1.N034) 211.8E-18                    

    (X__U1.N035) 211.8E-18                (X__U1.N036)     .1532                    

    (X__U1.N037)     .1532                (X__U1.N038)  -60.0000                    

    (X__U1.N039)     .1532                (X__U1.N040)     .1532                    

    (X__U1.N041)  -17.0000                (X__U1.N042)-210.0E-12                    

    (X__U1.N043)-210.0E-12                (X__U1.N044) 211.8E-18                    

    (X__U1.N045)     .1532                (X__U1.N046)     .1532                    

    (X__U1.N047)     .1532                (X__U1.N048)     .1532                    

    (X__U1.N049) 910.4E-06                (X__U1.N050)     .1532                    

    (X__U1.N051)    2.6000                (X__U1.N052)-210.0E-12                    

    (X__U1.N053)-210.0E-12                (X__U1.N054)   -2.6000                    

    (X__U1.N055)     .1532                (X__U1.N056) 2.223E-06                    

    (X__U1.N057)     .1532                (X__U1.N058)    2.6114                    

    (X__U1.N059)    2.6092                (X__U1.N060)     .1532                    

    (X__U1.N061)     .0806                (X__U1.N062)     .1532                    

    (X__U1.N063)    5.4792                (X__U1.N064)    2.6906                    

    (X__U1.N065)    2.6906                (X__U1.N066)    1.8579                    

    (X__U1.N067)    1.8579                (X__U1.N068)    1.6849                    

    (X__U1.N069)    1.6848                (X__U1.N070)     .4473                    

    (X__U1.N071)    1.6864                (X__U1.N072)    1.6864                    

    (X__U1.N073)    1.6862                (X__U1.N074)     .0013                    

    (X__U1.N075)    1.6848                (X__U1.N076)     .1532                    

    (X__U1.N077)     .1532                (X__U1.N078)    2.2461                    

    (X__U1.N079)    1.8141                (X__U1.N080)    1.6827                    

    (X__U1.N081)    1.6864                (X__U1.N082)    2.5000                    

    (X__U1.N083) 211.8E-18                (X__U1.N084)   -2.5000                    

    (X__U1.VCLP)     .1532                (X__U1.CLAMP)     .1532                   

    (X__U1.SW_OL) 211.8E-18               (X__U1.VCC_B)    2.5000                   

    (X__U1.VEE_B)   -2.5000               (X__U1.VIMON) 2.222E-06                   

    (X__U1.VOUT_S)     .1531              (X__U1.VSENSE)     .1532                  

    (X__U1.Xe_n.3)    0.0000              (X__U1.Xe_n.4)    0.0000                  

    (X__U1.Xe_n.5)    0.0000              (X__U1.Xe_n.6)    0.0000                  

    (X__U1.Xe_n.7)     .8338              (X__U1.Xe_n.8)     .8338                  

    (X__U1.VCC_CLP)    2.4800             (X__U1.VEE_CLP)   -2.4800                 

    (X__U1.Xi_nn.3)    0.0000             (X__U1.Xi_nn.4)    0.0000                 

    (X__U1.Xi_nn.5)    0.0000             (X__U1.Xi_nn.6)    0.0000                 

    (X__U1.Xi_nn.7)     .8338             (X__U1.Xi_nn.8)     .8338                 

    (X__U1.Xi_np.3)    0.0000             (X__U1.Xi_np.4)    0.0000                 

    (X__U1.Xi_np.5)    0.0000             (X__U1.Xi_np.6)    0.0000                 

    (X__U1.Xi_np.7)     .8338             (X__U1.Xi_np.8)     .8338                 

    (X__U1.CL_CLAMP)     .1532            (X__U1.CLAW_CLAMP)     .1532          



              JOB CONCLUDED

              TOTAL JOB TIME           55.20

  • Hi George,

    You can attach files to the forum by following these instructions: e2e.ti.com/.../148.4-5-attaching-a-file

    Regarding your other question, Cadence does not support such old versions of PSpice anymore so if we have any issues in general with such old versions (not necessarily syntax related), we may not have a way to address it.
  • Nikhil:

    Thanks for your comment, and instructions on how to attach files.

    I'm (extremely) aware of the lack of support for older, node locked licenses, which even extends to the physical license dongles.  I have no interest in rentware, and v8.0 works well enough for me.  My point was somewhat different, it was that using an older syntax, makes the model more generally usable.  There is no loss of functionality, no cost (other than perhaps a netlister patch), and hence no downside to the older syntax. TI is already distributing separate PSPICE and TINA  models, so there's no downside there.  As I said, I'm not sure when (or even if) the syntax TI is using now came into use, but why exclude anybody for the price of a few lines of code in the netlister?  Please note that documentation on v8.0 format is more available than any possible modern format, MicroSim's 8.0 dox are available in PDF, anywhere and everywhere.

    I've attached the simulation output files below.  I've pretty much convinced myself that the problem is the model, as voltage sources have worked in SPICE since 1962.  Is there documentation of the model in schematic format, so I could troubleshoot?

    OPA388 no timestep restriction.txt
    **** 05/23/117 10:28:19 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     CIRCUIT DESCRIPTION
    
    
    ******************************************************************************
    
    
    
    
    * Schematics Version 8.0 - July 1997
    * Tue May 23 10:28:19 2017
    
    
    
    ** Analysis setup **
    .tran 20ns 20ms
    .OP 
    .LIB "..\UserLib\TI.lib"
    
    
    * From [SCHEMATICS NETLIST] section of msim.ini:
    .lib "..\library\nom.lib"
    
    .INC "OPA388 test schematic.net"
    
    **** INCLUDING "OPA388 test schematic.net" ****
    * Schematics Netlist *
    
    
    
    X__U1         $GHB_0001 $GHB_0002 F_2_5V F_-2_5V $GHB_0002 OPA388
    V_V3         $GHB_0001 0 DC 0V AC 0V
    +PWL 0s 0V 5ms 2.5V 15ms -2.5V 20ms 0V 
    V_V1         F_2_5V 0 2.5V
    V_V2         F_-2_5V 0 -2.5V
    
    **** RESUMING "OPA388 test schematic.cir" ****
    .INC "OPA388 test schematic.als"
    
    
    
    **** INCLUDING "OPA388 test schematic.als" ****
    * Schematics Aliases *
    
    .ALIASES
    X__U1            U1(+=$GHB_0001 -=$GHB_0002 V+=F_2_5V V-=F_-2_5V OUT=$GHB_0002
    +  )
    V_V3            V3(+=$GHB_0001 -=0 )
    V_V1            V1(+=F_2_5V -=0 )
    V_V2            V2(+=F_-2_5V -=0 )
    _    _(F_2.5V=F_2_5V)
    _    _(F_-2.5V=F_-2_5V)
    .ENDALIASES
    
    
    **** RESUMING "OPA388 test schematic.cir" ****
    .probe
    
    
    .END
    
    **** 05/23/117 10:28:19 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     Diode MODEL PARAMETERS
    
    
    ******************************************************************************
    
    
    
    
                   X__U1.Xi_nn.DVNF 
              IS  100.000000E-18 
              KF    1.000000E-12 
    
    
                   X__U1.Xi_np.DVNF                X__U1.Xe_n.DVN  
              IS  100.000000E-18                  100.000000E-18 
              KF    1.000000E-12                  316.227800E-15 
    
    
    **** 05/23/117 10:28:19 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     Resistor MODEL PARAMETERS
    
    
    ******************************************************************************
    
    
    
    
                   X__U1.R_NOISELESS 
      T_Measured   27            
       T_Current -273.15         
               R    1            
    
    
    **** 05/23/117 10:28:19 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     Voltage Controlled Switch MODEL PARAMETERS
    
    
    ******************************************************************************
    
    
    
    
                   X__U1.BB_SW     X__U1.ESD_SW    X__U1.OL_SW     X__U1.OR_SW     
             RON   50              50               1.000000E-03     .01         
            ROFF    1.000000E+12    1.000000E+12    1.000000E+12    1.000000E+12 
             VON     .7              .5              .9             1.000000E-03 
            VOFF    0                .1              .8             0            
    
    
    **** 05/23/117 10:28:19 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     SMALL SIGNAL BIAS SOLUTION       TEMPERATURE =   27.000 DEG C
    
    
    ******************************************************************************
    
    
    
     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE
    
    
    (F_2_5V)    2.5000 (F_-2_5V)   -2.5000                   ($GHB_0001)    0.0000  
    
    ($GHB_0002) 200.0E-09                 (X__U1.MID) 222.0E-18                     
    
    (X__U1.ESDn) 200.0E-09                (X__U1.ESDp)-300.0E-15                    
    
    (X__U1.N001)-246.6E-12                (X__U1.N002)-250.0E-09                    
    
    (X__U1.N003) 250.0E-09                (X__U1.N004) 253.5E-06                    
    
    (X__U1.N005) 250.1E-09                (X__U1.N006) 213.6E-18                    
    
    (X__U1.N007) 222.0E-18                (X__U1.N008) 222.1E-18                    
    
    (X__U1.N009)   60.0000                (X__U1.N010)   17.0000                    
    
    (X__U1.N011)    -.4050                (X__U1.N012)-250.1E-09                    
    
    (X__U1.N013)    2.4800                (X__U1.N014)    2.4800                    
    
    (X__U1.N015) 222.0E-18                (X__U1.N016) 222.0E-18                    
    
    (X__U1.N017) 222.0E-18                (X__U1.N018) 222.0E-18                    
    
    (X__U1.N019) 200.0E-09                (X__U1.N020) 222.0E-18                    
    
    (X__U1.N021) 222.0E-18                (X__U1.N022) 222.0E-18                    
    
    (X__U1.N023) 222.0E-18                (X__U1.N024) 222.0E-18                    
    
    (X__U1.N025) 222.0E-18                (X__U1.N026)   -2.4800                    
    
    (X__U1.N027)   -2.4800                (X__U1.N028)  -10.5000                    
    
    (X__U1.N029)  -10.5000                (X__U1.N030)  -10.5000                    
    
    (X__U1.N031)   10.5000                (X__U1.N032)   10.5000                    
    
    (X__U1.N033)   10.5000                (X__U1.N034) 222.0E-18                    
    
    (X__U1.N035) 222.0E-18                (X__U1.N036)-240.2E-09                    
    
    (X__U1.N037)-270.2E-09                (X__U1.N038)  -60.0000                    
    
    (X__U1.N039)-270.2E-09                (X__U1.N040) 200.0E-09                    
    
    (X__U1.N041)  -17.0000                (X__U1.N042)-210.0E-12                    
    
    (X__U1.N043)-210.0E-12                (X__U1.N044) 222.0E-18                    
    
    (X__U1.N045)-330.0E-15                (X__U1.N046)-330.0E-15                    
    
    (X__U1.N047) 200.0E-09                (X__U1.N048) 200.0E-09                    
    
    (X__U1.N049) 796.5E-15                (X__U1.N050) 200.0E-09                    
    
    (X__U1.N051)    2.6000                (X__U1.N052)-210.0E-12                    
    
    (X__U1.N053)-210.0E-12                (X__U1.N054)   -2.6000                    
    
    (X__U1.N055) 200.0E-09                (X__U1.N056)-29.99E-09                    
    
    (X__U1.N057) 200.0E-09                (X__U1.N058) 1.677E-06                    
    
    (X__U1.N059) 798.5E-09                (X__U1.N060) 200.0E-09                    
    
    (X__U1.N061) 105.3E-09                (X__U1.N062) 200.0E-09                    
    
    (X__U1.N063) 1.677E-06                (X__U1.N064) 1.677E-06                    
    
    (X__U1.N065) 1.677E-06                (X__U1.N066) 1.677E-06                    
    
    (X__U1.N067) 1.677E-06                (X__U1.N068) 1.677E-06                    
    
    (X__U1.N069) 1.677E-06                (X__U1.N070) 444.7E-09                    
    
    (X__U1.N071) 1.677E-06                (X__U1.N072) 1.677E-06                    
    
    (X__U1.N073) 1.676E-06                (X__U1.N074) 1.340E-09                    
    
    (X__U1.N075) 1.675E-06                (X__U1.N076) 200.0E-09                    
    
    (X__U1.N077) 200.0E-09                (X__U1.N078) 1.677E-06                    
    
    (X__U1.N079) 1.677E-06                (X__U1.N080) 1.677E-06                    
    
    (X__U1.N081) 1.677E-06                (X__U1.N082)    2.5000                    
    
    (X__U1.N083) 211.8E-18                (X__U1.N084)   -2.5000                    
    
    (X__U1.VCLP) 200.0E-09                (X__U1.CLAMP) 200.0E-09                   
    
    (X__U1.SW_OL) 222.0E-18               (X__U1.VCC_B)    2.5000                   
    
    (X__U1.VEE_B)   -2.5000               (X__U1.VIMON)-29.99E-09                   
    
    (X__U1.VOUT_S) 200.0E-09              (X__U1.VSENSE) 200.0E-09                  
    
    (X__U1.Xe_n.3)    0.0000              (X__U1.Xe_n.4)    0.0000                  
    
    (X__U1.Xe_n.5)    0.0000              (X__U1.Xe_n.6)    0.0000                  
    
    (X__U1.Xe_n.7)     .8338              (X__U1.Xe_n.8)     .8338                  
    
    (X__U1.VCC_CLP)    2.4800             (X__U1.VEE_CLP)   -2.4800                 
    
    (X__U1.Xi_nn.3)    0.0000             (X__U1.Xi_nn.4)    0.0000                 
    
    (X__U1.Xi_nn.5)    0.0000             (X__U1.Xi_nn.6)    0.0000                 
    
    (X__U1.Xi_nn.7)     .8338             (X__U1.Xi_nn.8)     .8338                 
    
    (X__U1.Xi_np.3)    0.0000             (X__U1.Xi_np.4)    0.0000                 
    
    (X__U1.Xi_np.5)    0.0000             (X__U1.Xi_np.6)    0.0000                 
    
    (X__U1.Xi_np.7)     .8338             (X__U1.Xi_np.8)     .8338                 
    
    (X__U1.CL_CLAMP) 200.0E-09            (X__U1.CLAW_CLAMP) 200.0E-09          
    
    
    
    
        VOLTAGE SOURCE CURRENTS
        NAME         CURRENT
    
        V_V3        -3.000E-11
        V_V1        -1.900E-03
        V_V2         1.900E-03
        X__U1.V_OS  -3.000E-11
        X__U1.VCM_MIN   2.600E-12
        X__U1.VCM_MAX  -2.599E-12
        X__U1.V4    -2.999E-11
        X__U1.V_ISCp  -6.000E-11
        X__U1.V_ISCn   6.000E-11
        X__U1.V_GRn   1.700E-11
        X__U1.V_GRp  -1.700E-11
        X__U1.V_ORp   0.000E+00
        X__U1.V_ORn   0.000E+00
        X__U1.V11    2.100E-11
        X__U1.V12   -2.100E-11
    
        TOTAL POWER DISSIPATION   9.50E-03  WATTS
    
    
    **** 05/23/117 10:28:19 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     OPERATING POINT INFORMATION      TEMPERATURE =   27.000 DEG C
    
    
    ******************************************************************************
    
    
    
    
    
    
    **** VOLTAGE-CONTROLLED CURRENT SOURCES
    
    
    NAME         X__U1.G1    X__U1.G2    X__U1.G3    X__U1.G5    X__U1.G8  
    I-SOURCE     5.002E-10   2.480E-03  -2.480E-03   2.995E-23   2.000E-10
    
    NAME         X__U1.G9    X__U1.G15   X__U1.G16   X__U1.G17   X__U1.G7  
    I-SOURCE     2.000E-10   2.000E-10   2.500E+00  -2.500E+00   2.000E-13
    
    NAME         X__U1.G10   X__U1.G11   X__U1.G30   X__U1.G31   X__U1.G32 
    I-SOURCE    -1.050E+01   1.050E+01   1.677E-06   1.677E-06   1.677E-06
    
    NAME         X__U1.G33   X__U1.G34   X__U1.G35   X__U1.G36   X__U1.G13 
    I-SOURCE     1.677E-06   1.677E-06   1.677E-06   1.677E-06   2.000E-10
    
    NAME         X__U1.G18   X__U1.G_adjust1         X__U1.G20   X__U1.G21 
    I-SOURCE     2.000E-07   2.535E-04              -2.500E-07   2.501E-07
    
    NAME         X__U1.G12   X__U1.G_adjust2         X__U1.G6  
    I-SOURCE    -4.050E-01  -8.406E-18               2.995E-20
    
    NAME         X__U1.XVCM_CLAMP.G1     X__U1.XIQ+.G1         
    I-SOURCE     2.000E-07               0.000E+00            
    
    NAME         X__U1.XIQ-.G1           X__U1.XCLAWp.G1       
    I-SOURCE     2.999E-11               2.000E-05            
    
    NAME         X__U1.XCLAWn.G1         X__U1.XCL_AMP.GVo+    
    I-SOURCE     2.000E-05               0.000E+00            
    
    NAME         X__U1.XCL_AMP.GVo-      X__U1.XOL_SENSE.GSW+  
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.XCLAW_AMP.GVo+    X__U1.XCLAW_AMP.GVo-  
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.XCL_SRC.G1        X__U1.XCLAW_SRC.G1    
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.XGR_AMP.GVo+      X__U1.XGR_AMP.GVo-    
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.XGR_SRC.G1        X__U1.Xi_nn.G1        
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.Xi_np.G1          X__U1.XVCCS_LIMIT_1.G1            
    I-SOURCE     0.000E+00               7.962E-19                        
    
    NAME         X__U1.XVCCS_LIMIT_2.G1              X__U1.XVCCS_LIM_ZO.G1 
    I-SOURCE     2.000E-13                           1.675E-06            
    
    
    **** VOLTAGE-CONTROLLED VOLTAGE SOURCES
    
    
    NAME         X__U1.E4    X__U1.E5    X__U1.E1    X__U1.Xi_nn.E1        
    V-SOURCE     2.000E-07   2.000E-07   2.118E-16   0.000E+00            
    I-SOURCE     0.000E+00  -2.000E-19   1.292E-14   0.000E+00            
    
    NAME         X__U1.Xi_nn.E2          X__U1.Xi_np.E1        
    V-SOURCE     0.000E+00               0.000E+00            
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.Xi_np.E2          X__U1.Xe_n.E1         
    V-SOURCE     0.000E+00               0.000E+00            
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.Xe_n.E2           X__U1.Xe_n.E3         
    V-SOURCE     0.000E+00               0.000E+00            
    I-SOURCE     0.000E+00              -3.000E-11            
    
    
    **** CURRENT-CONTROLLED VOLTAGE SOURCES
    
    
    NAME         X__U1.H1    X__U1.H3    X__U1.H2  
    V-SOURCE    -2.999E-08  -2.100E-10  -2.100E-10
    I-SOURCE     2.999E-20   0.000E+00   0.000E+00
    
    
    **** DIODES
    
    
    NAME         X__U1.Xi_nn.D1          X__U1.Xi_nn.D2        
    MODEL        X__U1.Xi_nn.DVNF        X__U1.Xi_nn.DVNF      
    ID           1.00E-02                1.00E-02             
    VD           8.34E-01                8.34E-01             
    REQ          2.59E+00                2.59E+00             
    CAP          0.00E+00                0.00E+00             
    
    NAME         X__U1.Xi_np.D1          X__U1.Xi_np.D2        
    MODEL        X__U1.Xi_np.DVNF        X__U1.Xi_np.DVNF      
    ID           1.00E-02                1.00E-02             
    VD           8.34E-01                8.34E-01             
    REQ          2.59E+00                2.59E+00             
    CAP          0.00E+00                0.00E+00             
    
    NAME         X__U1.Xe_n.D1           X__U1.Xe_n.D2         
    MODEL        X__U1.Xe_n.DVN          X__U1.Xe_n.DVN        
    ID           1.00E-02                1.00E-02             
    VD           8.34E-01                8.34E-01             
    REQ          2.59E+00                2.59E+00             
    CAP          0.00E+00                0.00E+00             
    
    
    **** VOLTAGE CONTROLLED SWITCHES
    
    
    NAME         X__U1.S1                X__U1.S6              
    MODEL        X__U1.OL_SW             X__U1.ESD_SW          
    I LOAD      -8.78E-19                2.50E-12             
    V LOAD      -8.78E-07                2.50E+00             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -0.00E+00               -2.50E+00             
    
    NAME         X__U1.S7                X__U1.S8              
    MODEL        X__U1.ESD_SW            X__U1.OR_SW           
    I LOAD       2.50E-12                1.05E-11             
    V LOAD       2.50E+00                1.05E+01             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -2.50E+00               -1.05E+01             
    
    NAME         X__U1.S9                X__U1.S2              
    MODEL        X__U1.OR_SW             X__U1.ESD_SW          
    I LOAD       1.05E-11                2.50E-12             
    V LOAD       1.05E+01                2.50E+00             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -1.05E+01               -2.50E+00             
    
    NAME         X__U1.S3                X__U1.S4              
    MODEL        X__U1.ESD_SW            X__U1.ESD_SW          
    I LOAD       2.50E-12                2.50E-12             
    V LOAD       2.50E+00                2.50E+00             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -2.50E+00               -2.50E+00             
    
    NAME         X__U1.S5              
    MODEL        X__U1.ESD_SW          
    I LOAD       2.50E-12             
    V LOAD       2.50E+00             
    R LOAD       1.00E+12             
    V CTRL      -2.50E+00             
    **** 05/23/117 10:28:19 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     INITIAL TRANSIENT SOLUTION       TEMPERATURE =   27.000 DEG C
    
    
    ******************************************************************************
    
    
    
     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE
    
    
    (F_2_5V)    2.5000 (F_-2_5V)   -2.5000                   ($GHB_0001)    0.0000  
    
    ($GHB_0002) 200.0E-09                 (X__U1.MID) 222.0E-18                     
    
    (X__U1.ESDn) 200.0E-09                (X__U1.ESDp)-300.0E-15                    
    
    (X__U1.N001)-246.6E-12                (X__U1.N002)-250.0E-09                    
    
    (X__U1.N003) 250.0E-09                (X__U1.N004) 253.5E-06                    
    
    (X__U1.N005) 250.1E-09                (X__U1.N006) 213.6E-18                    
    
    (X__U1.N007) 222.0E-18                (X__U1.N008) 222.1E-18                    
    
    (X__U1.N009)   60.0000                (X__U1.N010)   17.0000                    
    
    (X__U1.N011)    -.4050                (X__U1.N012)-250.1E-09                    
    
    (X__U1.N013)    2.4800                (X__U1.N014)    2.4800                    
    
    (X__U1.N015) 222.0E-18                (X__U1.N016) 222.0E-18                    
    
    (X__U1.N017) 222.0E-18                (X__U1.N018) 222.0E-18                    
    
    (X__U1.N019) 200.0E-09                (X__U1.N020) 222.0E-18                    
    
    (X__U1.N021) 222.0E-18                (X__U1.N022) 222.0E-18                    
    
    (X__U1.N023) 222.0E-18                (X__U1.N024) 222.0E-18                    
    
    (X__U1.N025) 222.0E-18                (X__U1.N026)   -2.4800                    
    
    (X__U1.N027)   -2.4800                (X__U1.N028)  -10.5000                    
    
    (X__U1.N029)  -10.5000                (X__U1.N030)  -10.5000                    
    
    (X__U1.N031)   10.5000                (X__U1.N032)   10.5000                    
    
    (X__U1.N033)   10.5000                (X__U1.N034) 222.0E-18                    
    
    (X__U1.N035) 222.0E-18                (X__U1.N036)-240.2E-09                    
    
    (X__U1.N037)-270.2E-09                (X__U1.N038)  -60.0000                    
    
    (X__U1.N039)-270.2E-09                (X__U1.N040) 200.0E-09                    
    
    (X__U1.N041)  -17.0000                (X__U1.N042)-210.0E-12                    
    
    (X__U1.N043)-210.0E-12                (X__U1.N044) 222.0E-18                    
    
    (X__U1.N045)-330.0E-15                (X__U1.N046)-330.0E-15                    
    
    (X__U1.N047) 200.0E-09                (X__U1.N048) 200.0E-09                    
    
    (X__U1.N049) 796.5E-15                (X__U1.N050) 200.0E-09                    
    
    (X__U1.N051)    2.6000                (X__U1.N052)-210.0E-12                    
    
    (X__U1.N053)-210.0E-12                (X__U1.N054)   -2.6000                    
    
    (X__U1.N055) 200.0E-09                (X__U1.N056)-29.99E-09                    
    
    (X__U1.N057) 200.0E-09                (X__U1.N058) 1.677E-06                    
    
    (X__U1.N059) 798.5E-09                (X__U1.N060) 200.0E-09                    
    
    (X__U1.N061) 105.3E-09                (X__U1.N062) 200.0E-09                    
    
    (X__U1.N063) 1.677E-06                (X__U1.N064) 1.677E-06                    
    
    (X__U1.N065) 1.677E-06                (X__U1.N066) 1.677E-06                    
    
    (X__U1.N067) 1.677E-06                (X__U1.N068) 1.677E-06                    
    
    (X__U1.N069) 1.677E-06                (X__U1.N070) 444.7E-09                    
    
    (X__U1.N071) 1.677E-06                (X__U1.N072) 1.677E-06                    
    
    (X__U1.N073) 1.676E-06                (X__U1.N074) 1.340E-09                    
    
    (X__U1.N075) 1.675E-06                (X__U1.N076) 200.0E-09                    
    
    (X__U1.N077) 200.0E-09                (X__U1.N078) 1.677E-06                    
    
    (X__U1.N079) 1.677E-06                (X__U1.N080) 1.677E-06                    
    
    (X__U1.N081) 1.677E-06                (X__U1.N082)    2.5000                    
    
    (X__U1.N083) 211.8E-18                (X__U1.N084)   -2.5000                    
    
    (X__U1.VCLP) 200.0E-09                (X__U1.CLAMP) 200.0E-09                   
    
    (X__U1.SW_OL) 222.0E-18               (X__U1.VCC_B)    2.5000                   
    
    (X__U1.VEE_B)   -2.5000               (X__U1.VIMON)-29.99E-09                   
    
    (X__U1.VOUT_S) 200.0E-09              (X__U1.VSENSE) 200.0E-09                  
    
    (X__U1.Xe_n.3)    0.0000              (X__U1.Xe_n.4)    0.0000                  
    
    (X__U1.Xe_n.5)    0.0000              (X__U1.Xe_n.6)    0.0000                  
    
    (X__U1.Xe_n.7)     .8338              (X__U1.Xe_n.8)     .8338                  
    
    (X__U1.VCC_CLP)    2.4800             (X__U1.VEE_CLP)   -2.4800                 
    
    (X__U1.Xi_nn.3)    0.0000             (X__U1.Xi_nn.4)    0.0000                 
    
    (X__U1.Xi_nn.5)    0.0000             (X__U1.Xi_nn.6)    0.0000                 
    
    (X__U1.Xi_nn.7)     .8338             (X__U1.Xi_nn.8)     .8338                 
    
    (X__U1.Xi_np.3)    0.0000             (X__U1.Xi_np.4)    0.0000                 
    
    (X__U1.Xi_np.5)    0.0000             (X__U1.Xi_np.6)    0.0000                 
    
    (X__U1.Xi_np.7)     .8338             (X__U1.Xi_np.8)     .8338                 
    
    (X__U1.CL_CLAMP) 200.0E-09            (X__U1.CLAW_CLAMP) 200.0E-09          
    
    
    
    
        VOLTAGE SOURCE CURRENTS
        NAME         CURRENT
    
        V_V3        -3.000E-11
        V_V1        -1.900E-03
        V_V2         1.900E-03
        X__U1.V_OS  -3.000E-11
        X__U1.VCM_MIN   2.600E-12
        X__U1.VCM_MAX  -2.599E-12
        X__U1.V4    -2.999E-11
        X__U1.V_ISCp  -6.000E-11
        X__U1.V_ISCn   6.000E-11
        X__U1.V_GRn   1.700E-11
        X__U1.V_GRp  -1.700E-11
        X__U1.V_ORp   0.000E+00
        X__U1.V_ORn   0.000E+00
        X__U1.V11    2.100E-11
        X__U1.V12   -2.100E-11
    
        TOTAL POWER DISSIPATION   9.50E-03  WATTS
    
    
    ERROR -- Convergence problem in transient analysis at Time =  4.967E-03
             Time step =  6.180E-15, minimum allowable step size =  20.00E-15
    
      These supply currents failed to converge:
    
        I(X__U1.E1)               =    9.20546e-008 / 9.37835e-008
    
    ERROR -- Discontinuing simulation due to convergence problem
    
    
      Last node voltages tried were:
    
     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE
    
    
    (F_2_5V)    2.5000 (F_-2_5V)   -2.5000                   ($GHB_0001)    2.4835  
    
    ($GHB_0002)    2.4810                 (X__U1.MID) 211.8E-18                     
    
    (X__U1.ESDn)    2.4810                (X__U1.ESDp)    2.4835                    
    
    (X__U1.N001)-246.6E-12                (X__U1.N002)-250.0E-09                    
    
    (X__U1.N003) 250.0E-09                (X__U1.N004) 253.5E-06                    
    
    (X__U1.N005) 250.1E-09                (X__U1.N006) 69.54E-06                    
    
    (X__U1.N007) 248.4E-09                (X__U1.N008)-248.4E-09                    
    
    (X__U1.N009)   60.0000                (X__U1.N010)   17.0000                    
    
    (X__U1.N011)    -.4050                (X__U1.N012)-250.1E-09                    
    
    (X__U1.N013)    2.4800                (X__U1.N014)    2.4800                    
    
    (X__U1.N015) 987.2E-06                (X__U1.N016) 987.2E-06                    
    
    (X__U1.N017) 211.8E-18                (X__U1.N018) 211.8E-18                    
    
    (X__U1.N019)    3.4677                (X__U1.N020) 211.8E-18                    
    
    (X__U1.N021) 211.8E-18                (X__U1.N022) 211.8E-18                    
    
    (X__U1.N023) 211.8E-18                (X__U1.N024) 211.8E-18                    
    
    (X__U1.N025) 211.8E-18                (X__U1.N026)   -2.4800                    
    
    (X__U1.N027)   -2.4800                (X__U1.N028)   -8.0190                    
    
    (X__U1.N029)   -8.0190                (X__U1.N030)   -8.0190                    
    
    (X__U1.N031)   12.9810                (X__U1.N032)   12.9810                    
    
    (X__U1.N033)   12.9810                (X__U1.N034) 211.8E-18                    
    
    (X__U1.N035) 211.8E-18                (X__U1.N036)    2.4835                    
    
    (X__U1.N037)    2.4835                (X__U1.N038)  -60.0000                    
    
    (X__U1.N039)    2.4835                (X__U1.N040)    2.4835                    
    
    (X__U1.N041)  -17.0000                (X__U1.N042)-190.3E-12                    
    
    (X__U1.N043)-190.3E-12                (X__U1.N044) 211.8E-18                    
    
    (X__U1.N045)    2.4835                (X__U1.N046)    2.4835                    
    
    (X__U1.N047)    2.4835                (X__U1.N048)    2.4835                    
    
    (X__U1.N049)     .2461                (X__U1.N050)    3.4675                    
    
    (X__U1.N051)    2.6000                (X__U1.N052)-229.7E-12                    
    
    (X__U1.N053)-229.7E-12                (X__U1.N054)   -2.6000                    
    
    (X__U1.N055)    2.4810                (X__U1.N056)     .0015                    
    
    (X__U1.N057)    2.4810                (X__U1.N058)    5.1994                    
    
    (X__U1.N059)    5.0857                (X__U1.N060)    3.4674                    
    
    (X__U1.N061)    1.8254                (X__U1.N062)    2.4810                    
    
    (X__U1.N063)   10.6800                (X__U1.N064)   25.4350                    
    
    (X__U1.N065)   25.4350                (X__U1.N066)   27.3110                    
    
    (X__U1.N067)   27.3110                (X__U1.N068)   27.3200                    
    
    (X__U1.N069)   27.3180                (X__U1.N070)    7.2463                    
    
    (X__U1.N071)   27.3190                (X__U1.N072)   27.3180                    
    
    (X__U1.N073)   27.3150                (X__U1.N074)     .0219                    
    
    (X__U1.N075)   27.3180                (X__U1.N076)    2.4810                    
    
    (X__U1.N077)    3.4682                (X__U1.N078)   27.7870                    
    
    (X__U1.N079)   27.4090                (X__U1.N080)   27.3200                    
    
    (X__U1.N081)   27.3170                (X__U1.N082)    2.5000                    
    
    (X__U1.N083) 211.8E-18                (X__U1.N084)   -2.5000                    
    
    (X__U1.VCLP)    2.4810                (X__U1.CLAMP)    3.4677                   
    
    (X__U1.SW_OL) 211.8E-18               (X__U1.VCC_B)    2.5000                   
    
    (X__U1.VEE_B)   -2.5000               (X__U1.VIMON)-181.5E-09                   
    
    (X__U1.VOUT_S)    2.4810              (X__U1.VSENSE)    3.4677                  
    
    (X__U1.Xe_n.3)    0.0000              (X__U1.Xe_n.4)    0.0000                  
    
    (X__U1.Xe_n.5)    0.0000              (X__U1.Xe_n.6)    0.0000                  
    
    (X__U1.Xe_n.7)     .8338              (X__U1.Xe_n.8)     .8338                  
    
    (X__U1.VCC_CLP)    2.4800             (X__U1.VEE_CLP)   -2.4800                 
    
    (X__U1.Xi_nn.3)    0.0000             (X__U1.Xi_nn.4)    0.0000                 
    
    (X__U1.Xi_nn.5)    0.0000             (X__U1.Xi_nn.6)    0.0000                 
    
    (X__U1.Xi_nn.7)     .8338             (X__U1.Xi_nn.8)     .8338                 
    
    (X__U1.Xi_np.3)    0.0000             (X__U1.Xi_np.4)    0.0000                 
    
    (X__U1.Xi_np.5)    0.0000             (X__U1.Xi_np.6)    0.0000                 
    
    (X__U1.Xi_np.7)     .8338             (X__U1.Xi_np.8)     .8338                 
    
    (X__U1.CL_CLAMP)    2.4810            (X__U1.CLAW_CLAMP)    2.4810          
    
    
    
              JOB CONCLUDED
    
              TOTAL JOB TIME            2.14
    
    OPA388 20ns.txt
    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     CIRCUIT DESCRIPTION
    
    
    ******************************************************************************
    
    
    
    
    * Schematics Version 8.0 - July 1997
    * Tue May 23 10:25:32 2017
    
    
    
    ** Analysis setup **
    .tran 20ns 20ms 0 20ns
    .OP 
    .LIB "..\UserLib\TI.lib"
    
    
    * From [SCHEMATICS NETLIST] section of msim.ini:
    .lib "..\library\nom.lib"
    
    .INC "OPA388 test schematic.net"
    
    **** INCLUDING "OPA388 test schematic.net" ****
    * Schematics Netlist *
    
    
    
    X__U1         $GHB_0001 $GHB_0002 F_2_5V F_-2_5V $GHB_0002 OPA388
    V_V3         $GHB_0001 0 DC 0V AC 0V
    +PWL 0s 0V 5ms 2.5V 15ms -2.5V 20ms 0V 
    V_V1         F_2_5V 0 2.5V
    V_V2         F_-2_5V 0 -2.5V
    
    **** RESUMING "OPA388 test schematic.cir" ****
    .INC "OPA388 test schematic.als"
    
    
    
    **** INCLUDING "OPA388 test schematic.als" ****
    * Schematics Aliases *
    
    .ALIASES
    X__U1            U1(+=$GHB_0001 -=$GHB_0002 V+=F_2_5V V-=F_-2_5V OUT=$GHB_0002
    +  )
    V_V3            V3(+=$GHB_0001 -=0 )
    V_V1            V1(+=F_2_5V -=0 )
    V_V2            V2(+=F_-2_5V -=0 )
    _    _(F_2.5V=F_2_5V)
    _    _(F_-2.5V=F_-2_5V)
    .ENDALIASES
    
    
    **** RESUMING "OPA388 test schematic.cir" ****
    .probe
    
    
    .END
    
    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     Diode MODEL PARAMETERS
    
    
    ******************************************************************************
    
    
    
    
                   X__U1.Xi_nn.DVNF 
              IS  100.000000E-18 
              KF    1.000000E-12 
    
    
                   X__U1.Xi_np.DVNF                X__U1.Xe_n.DVN  
              IS  100.000000E-18                  100.000000E-18 
              KF    1.000000E-12                  316.227800E-15 
    
    
    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     Resistor MODEL PARAMETERS
    
    
    ******************************************************************************
    
    
    
    
                   X__U1.R_NOISELESS 
      T_Measured   27            
       T_Current -273.15         
               R    1            
    
    
    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     Voltage Controlled Switch MODEL PARAMETERS
    
    
    ******************************************************************************
    
    
    
    
                   X__U1.BB_SW     X__U1.ESD_SW    X__U1.OL_SW     X__U1.OR_SW     
             RON   50              50               1.000000E-03     .01         
            ROFF    1.000000E+12    1.000000E+12    1.000000E+12    1.000000E+12 
             VON     .7              .5              .9             1.000000E-03 
            VOFF    0                .1              .8             0            
    
    
    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     SMALL SIGNAL BIAS SOLUTION       TEMPERATURE =   27.000 DEG C
    
    
    ******************************************************************************
    
    
    
     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE
    
    
    (F_2_5V)    2.5000 (F_-2_5V)   -2.5000                   ($GHB_0001)    0.0000  
    
    ($GHB_0002) 200.0E-09                 (X__U1.MID) 222.0E-18                     
    
    (X__U1.ESDn) 200.0E-09                (X__U1.ESDp)-300.0E-15                    
    
    (X__U1.N001)-246.6E-12                (X__U1.N002)-250.0E-09                    
    
    (X__U1.N003) 250.0E-09                (X__U1.N004) 253.5E-06                    
    
    (X__U1.N005) 250.1E-09                (X__U1.N006) 213.6E-18                    
    
    (X__U1.N007) 222.0E-18                (X__U1.N008) 222.1E-18                    
    
    (X__U1.N009)   60.0000                (X__U1.N010)   17.0000                    
    
    (X__U1.N011)    -.4050                (X__U1.N012)-250.1E-09                    
    
    (X__U1.N013)    2.4800                (X__U1.N014)    2.4800                    
    
    (X__U1.N015) 222.0E-18                (X__U1.N016) 222.0E-18                    
    
    (X__U1.N017) 222.0E-18                (X__U1.N018) 222.0E-18                    
    
    (X__U1.N019) 200.0E-09                (X__U1.N020) 222.0E-18                    
    
    (X__U1.N021) 222.0E-18                (X__U1.N022) 222.0E-18                    
    
    (X__U1.N023) 222.0E-18                (X__U1.N024) 222.0E-18                    
    
    (X__U1.N025) 222.0E-18                (X__U1.N026)   -2.4800                    
    
    (X__U1.N027)   -2.4800                (X__U1.N028)  -10.5000                    
    
    (X__U1.N029)  -10.5000                (X__U1.N030)  -10.5000                    
    
    (X__U1.N031)   10.5000                (X__U1.N032)   10.5000                    
    
    (X__U1.N033)   10.5000                (X__U1.N034) 222.0E-18                    
    
    (X__U1.N035) 222.0E-18                (X__U1.N036)-240.2E-09                    
    
    (X__U1.N037)-270.2E-09                (X__U1.N038)  -60.0000                    
    
    (X__U1.N039)-270.2E-09                (X__U1.N040) 200.0E-09                    
    
    (X__U1.N041)  -17.0000                (X__U1.N042)-210.0E-12                    
    
    (X__U1.N043)-210.0E-12                (X__U1.N044) 222.0E-18                    
    
    (X__U1.N045)-330.0E-15                (X__U1.N046)-330.0E-15                    
    
    (X__U1.N047) 200.0E-09                (X__U1.N048) 200.0E-09                    
    
    (X__U1.N049) 796.5E-15                (X__U1.N050) 200.0E-09                    
    
    (X__U1.N051)    2.6000                (X__U1.N052)-210.0E-12                    
    
    (X__U1.N053)-210.0E-12                (X__U1.N054)   -2.6000                    
    
    (X__U1.N055) 200.0E-09                (X__U1.N056)-29.99E-09                    
    
    (X__U1.N057) 200.0E-09                (X__U1.N058) 1.677E-06                    
    
    (X__U1.N059) 798.5E-09                (X__U1.N060) 200.0E-09                    
    
    (X__U1.N061) 105.3E-09                (X__U1.N062) 200.0E-09                    
    
    (X__U1.N063) 1.677E-06                (X__U1.N064) 1.677E-06                    
    
    (X__U1.N065) 1.677E-06                (X__U1.N066) 1.677E-06                    
    
    (X__U1.N067) 1.677E-06                (X__U1.N068) 1.677E-06                    
    
    (X__U1.N069) 1.677E-06                (X__U1.N070) 444.7E-09                    
    
    (X__U1.N071) 1.677E-06                (X__U1.N072) 1.677E-06                    
    
    (X__U1.N073) 1.676E-06                (X__U1.N074) 1.340E-09                    
    
    (X__U1.N075) 1.675E-06                (X__U1.N076) 200.0E-09                    
    
    (X__U1.N077) 200.0E-09                (X__U1.N078) 1.677E-06                    
    
    (X__U1.N079) 1.677E-06                (X__U1.N080) 1.677E-06                    
    
    (X__U1.N081) 1.677E-06                (X__U1.N082)    2.5000                    
    
    (X__U1.N083) 211.8E-18                (X__U1.N084)   -2.5000                    
    
    (X__U1.VCLP) 200.0E-09                (X__U1.CLAMP) 200.0E-09                   
    
    (X__U1.SW_OL) 222.0E-18               (X__U1.VCC_B)    2.5000                   
    
    (X__U1.VEE_B)   -2.5000               (X__U1.VIMON)-29.99E-09                   
    
    (X__U1.VOUT_S) 200.0E-09              (X__U1.VSENSE) 200.0E-09                  
    
    (X__U1.Xe_n.3)    0.0000              (X__U1.Xe_n.4)    0.0000                  
    
    (X__U1.Xe_n.5)    0.0000              (X__U1.Xe_n.6)    0.0000                  
    
    (X__U1.Xe_n.7)     .8338              (X__U1.Xe_n.8)     .8338                  
    
    (X__U1.VCC_CLP)    2.4800             (X__U1.VEE_CLP)   -2.4800                 
    
    (X__U1.Xi_nn.3)    0.0000             (X__U1.Xi_nn.4)    0.0000                 
    
    (X__U1.Xi_nn.5)    0.0000             (X__U1.Xi_nn.6)    0.0000                 
    
    (X__U1.Xi_nn.7)     .8338             (X__U1.Xi_nn.8)     .8338                 
    
    (X__U1.Xi_np.3)    0.0000             (X__U1.Xi_np.4)    0.0000                 
    
    (X__U1.Xi_np.5)    0.0000             (X__U1.Xi_np.6)    0.0000                 
    
    (X__U1.Xi_np.7)     .8338             (X__U1.Xi_np.8)     .8338                 
    
    (X__U1.CL_CLAMP) 200.0E-09            (X__U1.CLAW_CLAMP) 200.0E-09          
    
    
    
    
        VOLTAGE SOURCE CURRENTS
        NAME         CURRENT
    
        V_V3        -3.000E-11
        V_V1        -1.900E-03
        V_V2         1.900E-03
        X__U1.V_OS  -3.000E-11
        X__U1.VCM_MIN   2.600E-12
        X__U1.VCM_MAX  -2.599E-12
        X__U1.V4    -2.999E-11
        X__U1.V_ISCp  -6.000E-11
        X__U1.V_ISCn   6.000E-11
        X__U1.V_GRn   1.700E-11
        X__U1.V_GRp  -1.700E-11
        X__U1.V_ORp   0.000E+00
        X__U1.V_ORn   0.000E+00
        X__U1.V11    2.100E-11
        X__U1.V12   -2.100E-11
    
        TOTAL POWER DISSIPATION   9.50E-03  WATTS
    
    
    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     OPERATING POINT INFORMATION      TEMPERATURE =   27.000 DEG C
    
    
    ******************************************************************************
    
    
    
    
    
    
    **** VOLTAGE-CONTROLLED CURRENT SOURCES
    
    
    NAME         X__U1.G1    X__U1.G2    X__U1.G3    X__U1.G5    X__U1.G8  
    I-SOURCE     5.002E-10   2.480E-03  -2.480E-03   2.995E-23   2.000E-10
    
    NAME         X__U1.G9    X__U1.G15   X__U1.G16   X__U1.G17   X__U1.G7  
    I-SOURCE     2.000E-10   2.000E-10   2.500E+00  -2.500E+00   2.000E-13
    
    NAME         X__U1.G10   X__U1.G11   X__U1.G30   X__U1.G31   X__U1.G32 
    I-SOURCE    -1.050E+01   1.050E+01   1.677E-06   1.677E-06   1.677E-06
    
    NAME         X__U1.G33   X__U1.G34   X__U1.G35   X__U1.G36   X__U1.G13 
    I-SOURCE     1.677E-06   1.677E-06   1.677E-06   1.677E-06   2.000E-10
    
    NAME         X__U1.G18   X__U1.G_adjust1         X__U1.G20   X__U1.G21 
    I-SOURCE     2.000E-07   2.535E-04              -2.500E-07   2.501E-07
    
    NAME         X__U1.G12   X__U1.G_adjust2         X__U1.G6  
    I-SOURCE    -4.050E-01  -8.406E-18               2.995E-20
    
    NAME         X__U1.XVCM_CLAMP.G1     X__U1.XIQ+.G1         
    I-SOURCE     2.000E-07               0.000E+00            
    
    NAME         X__U1.XIQ-.G1           X__U1.XCLAWp.G1       
    I-SOURCE     2.999E-11               2.000E-05            
    
    NAME         X__U1.XCLAWn.G1         X__U1.XCL_AMP.GVo+    
    I-SOURCE     2.000E-05               0.000E+00            
    
    NAME         X__U1.XCL_AMP.GVo-      X__U1.XOL_SENSE.GSW+  
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.XCLAW_AMP.GVo+    X__U1.XCLAW_AMP.GVo-  
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.XCL_SRC.G1        X__U1.XCLAW_SRC.G1    
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.XGR_AMP.GVo+      X__U1.XGR_AMP.GVo-    
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.XGR_SRC.G1        X__U1.Xi_nn.G1        
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.Xi_np.G1          X__U1.XVCCS_LIMIT_1.G1            
    I-SOURCE     0.000E+00               7.962E-19                        
    
    NAME         X__U1.XVCCS_LIMIT_2.G1              X__U1.XVCCS_LIM_ZO.G1 
    I-SOURCE     2.000E-13                           1.675E-06            
    
    
    **** VOLTAGE-CONTROLLED VOLTAGE SOURCES
    
    
    NAME         X__U1.E4    X__U1.E5    X__U1.E1    X__U1.Xi_nn.E1        
    V-SOURCE     2.000E-07   2.000E-07   2.118E-16   0.000E+00            
    I-SOURCE     0.000E+00  -2.000E-19   1.292E-14   0.000E+00            
    
    NAME         X__U1.Xi_nn.E2          X__U1.Xi_np.E1        
    V-SOURCE     0.000E+00               0.000E+00            
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.Xi_np.E2          X__U1.Xe_n.E1         
    V-SOURCE     0.000E+00               0.000E+00            
    I-SOURCE     0.000E+00               0.000E+00            
    
    NAME         X__U1.Xe_n.E2           X__U1.Xe_n.E3         
    V-SOURCE     0.000E+00               0.000E+00            
    I-SOURCE     0.000E+00              -3.000E-11            
    
    
    **** CURRENT-CONTROLLED VOLTAGE SOURCES
    
    
    NAME         X__U1.H1    X__U1.H3    X__U1.H2  
    V-SOURCE    -2.999E-08  -2.100E-10  -2.100E-10
    I-SOURCE     2.999E-20   0.000E+00   0.000E+00
    
    
    **** DIODES
    
    
    NAME         X__U1.Xi_nn.D1          X__U1.Xi_nn.D2        
    MODEL        X__U1.Xi_nn.DVNF        X__U1.Xi_nn.DVNF      
    ID           1.00E-02                1.00E-02             
    VD           8.34E-01                8.34E-01             
    REQ          2.59E+00                2.59E+00             
    CAP          0.00E+00                0.00E+00             
    
    NAME         X__U1.Xi_np.D1          X__U1.Xi_np.D2        
    MODEL        X__U1.Xi_np.DVNF        X__U1.Xi_np.DVNF      
    ID           1.00E-02                1.00E-02             
    VD           8.34E-01                8.34E-01             
    REQ          2.59E+00                2.59E+00             
    CAP          0.00E+00                0.00E+00             
    
    NAME         X__U1.Xe_n.D1           X__U1.Xe_n.D2         
    MODEL        X__U1.Xe_n.DVN          X__U1.Xe_n.DVN        
    ID           1.00E-02                1.00E-02             
    VD           8.34E-01                8.34E-01             
    REQ          2.59E+00                2.59E+00             
    CAP          0.00E+00                0.00E+00             
    
    
    **** VOLTAGE CONTROLLED SWITCHES
    
    
    NAME         X__U1.S1                X__U1.S6              
    MODEL        X__U1.OL_SW             X__U1.ESD_SW          
    I LOAD      -8.78E-19                2.50E-12             
    V LOAD      -8.78E-07                2.50E+00             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -0.00E+00               -2.50E+00             
    
    NAME         X__U1.S7                X__U1.S8              
    MODEL        X__U1.ESD_SW            X__U1.OR_SW           
    I LOAD       2.50E-12                1.05E-11             
    V LOAD       2.50E+00                1.05E+01             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -2.50E+00               -1.05E+01             
    
    NAME         X__U1.S9                X__U1.S2              
    MODEL        X__U1.OR_SW             X__U1.ESD_SW          
    I LOAD       1.05E-11                2.50E-12             
    V LOAD       1.05E+01                2.50E+00             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -1.05E+01               -2.50E+00             
    
    NAME         X__U1.S3                X__U1.S4              
    MODEL        X__U1.ESD_SW            X__U1.ESD_SW          
    I LOAD       2.50E-12                2.50E-12             
    V LOAD       2.50E+00                2.50E+00             
    R LOAD       1.00E+12                1.00E+12             
    V CTRL      -2.50E+00               -2.50E+00             
    
    NAME         X__U1.S5              
    MODEL        X__U1.ESD_SW          
    I LOAD       2.50E-12             
    V LOAD       2.50E+00             
    R LOAD       1.00E+12             
    V CTRL      -2.50E+00             
    **** 05/23/117 10:25:32 ****** NT PSpice 8.0 (July 1997) ****** ID# 100340 ***
    
     * Z:\PSPICE\Designs\OPA388 test schematic.sch
    
    
     ****     INITIAL TRANSIENT SOLUTION       TEMPERATURE =   27.000 DEG C
    
    
    ******************************************************************************
    
    
    
     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE
    
    
    (F_2_5V)    2.5000 (F_-2_5V)   -2.5000                   ($GHB_0001)    0.0000  
    
    ($GHB_0002) 200.0E-09                 (X__U1.MID) 222.0E-18                     
    
    (X__U1.ESDn) 200.0E-09                (X__U1.ESDp)-300.0E-15                    
    
    (X__U1.N001)-246.6E-12                (X__U1.N002)-250.0E-09                    
    
    (X__U1.N003) 250.0E-09                (X__U1.N004) 253.5E-06                    
    
    (X__U1.N005) 250.1E-09                (X__U1.N006) 213.6E-18                    
    
    (X__U1.N007) 222.0E-18                (X__U1.N008) 222.1E-18                    
    
    (X__U1.N009)   60.0000                (X__U1.N010)   17.0000                    
    
    (X__U1.N011)    -.4050                (X__U1.N012)-250.1E-09                    
    
    (X__U1.N013)    2.4800                (X__U1.N014)    2.4800                    
    
    (X__U1.N015) 222.0E-18                (X__U1.N016) 222.0E-18                    
    
    (X__U1.N017) 222.0E-18                (X__U1.N018) 222.0E-18                    
    
    (X__U1.N019) 200.0E-09                (X__U1.N020) 222.0E-18                    
    
    (X__U1.N021) 222.0E-18                (X__U1.N022) 222.0E-18                    
    
    (X__U1.N023) 222.0E-18                (X__U1.N024) 222.0E-18                    
    
    (X__U1.N025) 222.0E-18                (X__U1.N026)   -2.4800                    
    
    (X__U1.N027)   -2.4800                (X__U1.N028)  -10.5000                    
    
    (X__U1.N029)  -10.5000                (X__U1.N030)  -10.5000                    
    
    (X__U1.N031)   10.5000                (X__U1.N032)   10.5000                    
    
    (X__U1.N033)   10.5000                (X__U1.N034) 222.0E-18                    
    
    (X__U1.N035) 222.0E-18                (X__U1.N036)-240.2E-09                    
    
    (X__U1.N037)-270.2E-09                (X__U1.N038)  -60.0000                    
    
    (X__U1.N039)-270.2E-09                (X__U1.N040) 200.0E-09                    
    
    (X__U1.N041)  -17.0000                (X__U1.N042)-210.0E-12                    
    
    (X__U1.N043)-210.0E-12                (X__U1.N044) 222.0E-18                    
    
    (X__U1.N045)-330.0E-15                (X__U1.N046)-330.0E-15                    
    
    (X__U1.N047) 200.0E-09                (X__U1.N048) 200.0E-09                    
    
    (X__U1.N049) 796.5E-15                (X__U1.N050) 200.0E-09                    
    
    (X__U1.N051)    2.6000                (X__U1.N052)-210.0E-12                    
    
    (X__U1.N053)-210.0E-12                (X__U1.N054)   -2.6000                    
    
    (X__U1.N055) 200.0E-09                (X__U1.N056)-29.99E-09                    
    
    (X__U1.N057) 200.0E-09                (X__U1.N058) 1.677E-06                    
    
    (X__U1.N059) 798.5E-09                (X__U1.N060) 200.0E-09                    
    
    (X__U1.N061) 105.3E-09                (X__U1.N062) 200.0E-09                    
    
    (X__U1.N063) 1.677E-06                (X__U1.N064) 1.677E-06                    
    
    (X__U1.N065) 1.677E-06                (X__U1.N066) 1.677E-06                    
    
    (X__U1.N067) 1.677E-06                (X__U1.N068) 1.677E-06                    
    
    (X__U1.N069) 1.677E-06                (X__U1.N070) 444.7E-09                    
    
    (X__U1.N071) 1.677E-06                (X__U1.N072) 1.677E-06                    
    
    (X__U1.N073) 1.676E-06                (X__U1.N074) 1.340E-09                    
    
    (X__U1.N075) 1.675E-06                (X__U1.N076) 200.0E-09                    
    
    (X__U1.N077) 200.0E-09                (X__U1.N078) 1.677E-06                    
    
    (X__U1.N079) 1.677E-06                (X__U1.N080) 1.677E-06                    
    
    (X__U1.N081) 1.677E-06                (X__U1.N082)    2.5000                    
    
    (X__U1.N083) 211.8E-18                (X__U1.N084)   -2.5000                    
    
    (X__U1.VCLP) 200.0E-09                (X__U1.CLAMP) 200.0E-09                   
    
    (X__U1.SW_OL) 222.0E-18               (X__U1.VCC_B)    2.5000                   
    
    (X__U1.VEE_B)   -2.5000               (X__U1.VIMON)-29.99E-09                   
    
    (X__U1.VOUT_S) 200.0E-09              (X__U1.VSENSE) 200.0E-09                  
    
    (X__U1.Xe_n.3)    0.0000              (X__U1.Xe_n.4)    0.0000                  
    
    (X__U1.Xe_n.5)    0.0000              (X__U1.Xe_n.6)    0.0000                  
    
    (X__U1.Xe_n.7)     .8338              (X__U1.Xe_n.8)     .8338                  
    
    (X__U1.VCC_CLP)    2.4800             (X__U1.VEE_CLP)   -2.4800                 
    
    (X__U1.Xi_nn.3)    0.0000             (X__U1.Xi_nn.4)    0.0000                 
    
    (X__U1.Xi_nn.5)    0.0000             (X__U1.Xi_nn.6)    0.0000                 
    
    (X__U1.Xi_nn.7)     .8338             (X__U1.Xi_nn.8)     .8338                 
    
    (X__U1.Xi_np.3)    0.0000             (X__U1.Xi_np.4)    0.0000                 
    
    (X__U1.Xi_np.5)    0.0000             (X__U1.Xi_np.6)    0.0000                 
    
    (X__U1.Xi_np.7)     .8338             (X__U1.Xi_np.8)     .8338                 
    
    (X__U1.CL_CLAMP) 200.0E-09            (X__U1.CLAW_CLAMP) 200.0E-09          
    
    
    
    
        VOLTAGE SOURCE CURRENTS
        NAME         CURRENT
    
        V_V3        -3.000E-11
        V_V1        -1.900E-03
        V_V2         1.900E-03
        X__U1.V_OS  -3.000E-11
        X__U1.VCM_MIN   2.600E-12
        X__U1.VCM_MAX  -2.599E-12
        X__U1.V4    -2.999E-11
        X__U1.V_ISCp  -6.000E-11
        X__U1.V_ISCn   6.000E-11
        X__U1.V_GRn   1.700E-11
        X__U1.V_GRp  -1.700E-11
        X__U1.V_ORp   0.000E+00
        X__U1.V_ORn   0.000E+00
        X__U1.V11    2.100E-11
        X__U1.V12   -2.100E-11
    
        TOTAL POWER DISSIPATION   9.50E-03  WATTS
    
    
    ERROR -- Convergence problem in transient analysis at Time =  306.3E-06
             Time step =  19.07E-15, minimum allowable step size =  20.00E-15
    
      These supply currents failed to converge:
    
        I(X__U1.E1)               =    7.55826e-008 / 7.54517e-008
    
    
    
      Last node voltages tried were:
    
     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE     NODE   VOLTAGE
    
    
    (F_2_5V)    2.5000 (F_-2_5V)   -2.5000                   ($GHB_0001)     .1532  
    
    ($GHB_0002)     .1532                 (X__U1.MID) 211.8E-18                     
    
    (X__U1.ESDn)     .1532                (X__U1.ESDp)     .1532                    
    
    (X__U1.N001)-246.6E-12                (X__U1.N002)-250.0E-09                    
    
    (X__U1.N003) 250.0E-09                (X__U1.N004) 253.5E-06                    
    
    (X__U1.N005) 250.1E-09                (X__U1.N006) 4.289E-06                    
    
    (X__U1.N007) 15.97E-09                (X__U1.N008)-15.97E-09                    
    
    (X__U1.N009)   60.0000                (X__U1.N010)   17.0000                    
    
    (X__U1.N011)    -.4050                (X__U1.N012)-250.1E-09                    
    
    (X__U1.N013)    2.4800                (X__U1.N014)    2.4800                    
    
    (X__U1.N015) 211.8E-18                (X__U1.N016) 211.8E-18                    
    
    (X__U1.N017) 211.8E-18                (X__U1.N018) 211.8E-18                    
    
    (X__U1.N019)     .1532                (X__U1.N020) 211.8E-18                    
    
    (X__U1.N021) 211.8E-18                (X__U1.N022) 211.8E-18                    
    
    (X__U1.N023) 211.8E-18                (X__U1.N024) 211.8E-18                    
    
    (X__U1.N025) 211.8E-18                (X__U1.N026)   -2.4800                    
    
    (X__U1.N027)   -2.4800                (X__U1.N028)  -10.3470                    
    
    (X__U1.N029)  -10.3470                (X__U1.N030)  -10.3470                    
    
    (X__U1.N031)   10.6530                (X__U1.N032)   10.6530                    
    
    (X__U1.N033)   10.6530                (X__U1.N034) 211.8E-18                    
    
    (X__U1.N035) 211.8E-18                (X__U1.N036)     .1532                    
    
    (X__U1.N037)     .1532                (X__U1.N038)  -60.0000                    
    
    (X__U1.N039)     .1532                (X__U1.N040)     .1532                    
    
    (X__U1.N041)  -17.0000                (X__U1.N042)-210.0E-12                    
    
    (X__U1.N043)-210.0E-12                (X__U1.N044) 211.8E-18                    
    
    (X__U1.N045)     .1532                (X__U1.N046)     .1532                    
    
    (X__U1.N047)     .1532                (X__U1.N048)     .1532                    
    
    (X__U1.N049) 910.4E-06                (X__U1.N050)     .1532                    
    
    (X__U1.N051)    2.6000                (X__U1.N052)-210.0E-12                    
    
    (X__U1.N053)-210.0E-12                (X__U1.N054)   -2.6000                    
    
    (X__U1.N055)     .1532                (X__U1.N056) 2.223E-06                    
    
    (X__U1.N057)     .1532                (X__U1.N058)    2.6114                    
    
    (X__U1.N059)    2.6092                (X__U1.N060)     .1532                    
    
    (X__U1.N061)     .0806                (X__U1.N062)     .1532                    
    
    (X__U1.N063)    5.4792                (X__U1.N064)    2.6906                    
    
    (X__U1.N065)    2.6906                (X__U1.N066)    1.8579                    
    
    (X__U1.N067)    1.8579                (X__U1.N068)    1.6849                    
    
    (X__U1.N069)    1.6848                (X__U1.N070)     .4473                    
    
    (X__U1.N071)    1.6864                (X__U1.N072)    1.6864                    
    
    (X__U1.N073)    1.6862                (X__U1.N074)     .0013                    
    
    (X__U1.N075)    1.6848                (X__U1.N076)     .1532                    
    
    (X__U1.N077)     .1532                (X__U1.N078)    2.2461                    
    
    (X__U1.N079)    1.8141                (X__U1.N080)    1.6827                    
    
    (X__U1.N081)    1.6864                (X__U1.N082)    2.5000                    
    
    (X__U1.N083) 211.8E-18                (X__U1.N084)   -2.5000                    
    
    (X__U1.VCLP)     .1532                (X__U1.CLAMP)     .1532                   
    
    (X__U1.SW_OL) 211.8E-18               (X__U1.VCC_B)    2.5000                   
    
    (X__U1.VEE_B)   -2.5000               (X__U1.VIMON) 2.222E-06                   
    
    (X__U1.VOUT_S)     .1531              (X__U1.VSENSE)     .1532                  
    
    (X__U1.Xe_n.3)    0.0000              (X__U1.Xe_n.4)    0.0000                  
    
    (X__U1.Xe_n.5)    0.0000              (X__U1.Xe_n.6)    0.0000                  
    
    (X__U1.Xe_n.7)     .8338              (X__U1.Xe_n.8)     .8338                  
    
    (X__U1.VCC_CLP)    2.4800             (X__U1.VEE_CLP)   -2.4800                 
    
    (X__U1.Xi_nn.3)    0.0000             (X__U1.Xi_nn.4)    0.0000                 
    
    (X__U1.Xi_nn.5)    0.0000             (X__U1.Xi_nn.6)    0.0000                 
    
    (X__U1.Xi_nn.7)     .8338             (X__U1.Xi_nn.8)     .8338                 
    
    (X__U1.Xi_np.3)    0.0000             (X__U1.Xi_np.4)    0.0000                 
    
    (X__U1.Xi_np.5)    0.0000             (X__U1.Xi_np.6)    0.0000                 
    
    (X__U1.Xi_np.7)     .8338             (X__U1.Xi_np.8)     .8338                 
    
    (X__U1.CL_CLAMP)     .1532            (X__U1.CLAW_CLAMP)     .1532          
    
    
    
              JOB CONCLUDED
    
              TOTAL JOB TIME           55.20
    

  • Hi George,

    I was wondering if the schematic is available for this part? 

  • Joyeeta:

    I wouldn't know, that's TI's (Nikhil?) call.  But, I doubt it, and you would need process information which I wouldn't share if I were TI.  But in any event, the stiffness of a device model would be very high, a behavioral model is much less stiff, doesn't divulge much proprietary information, even if it isn't quite as accurate.

    That being said, TI is using the Green-Williams-Lis behavioral model, instead of the Boyle model:

    * OPA388 - Rev. A
    * Created by Ian Williams; December 07, 2016
    * Created with Green-Williams-Lis Op Amp Macro-model Architecture
    * Copyright 2016 by Texas Instruments Corporation

    I'm all for better models, but documentation, particularly of topology, would be handy, Full Stop.  I can find nothing on TI's website, doesn't mean it isn't there, documenting the Green-Williams-LIs model.  The problem appears to me to be the RRIO output clamping, but appearances can be deceiving, and it is difficult to tell all the players on the "MID" node.