This thread has been locked.

If you have a related question, please click the "Ask a related question" button in the top right corner. The newly created question will be automatically linked to this question.

DAC5675A-SP: Implications of Driving the Clock lines with LVDS Signal

Part Number: DAC5675A-SP
Other Parts Discussed in Thread: DAC5675A

Hello, 

We are currently using the DAC5675A (on our prototype) and DAC5675A-SP is set to be installed on the flight model. Both of these are currently planned to be used with a Virtex-5, which is currently driving the clock lines with an LVDS signal. It has been used this way for previous flight projects with seemingly no issues that I am aware of.

We have been testing it pretty regularly on our prototypes with seemingly no issues at the moment. We are running it at 144 MHz, the expected temperature range will be from -40 C to +90 C during flight. (Though we haven't done temperature testing yet). 

I wanted to touch base with TI on a couple of items:

  1. I understand that the DAC5675A is expecting LVPECL signal levels, what would we see at the output if the clock was dropping below the voltage needed to run the clock lines on the DAC? I assume maybe some dropped samples and the output would look qlitchy?
  2. Considering this has worked well for the prototypes that we built, would we expect the DAC to require a higher or lower voltage on the clock lines across temperature? If we assumed that the LVDS voltage levels we have at ambient stayed the same, would we expect the DAC to be able to work with them across temperature?
  3. Is driving the clock lines with a lower voltage signal going to result in decreased performance? Assuming all the samples still get clocked in, does the act of just having the clock signals lower than the 0.4 V have an impact on performance?
  4. Is there a hard cut off that is known for the DAC5675A Clock lines? Would you expect it to work under the 0.4 V at all? Or is it something that might vary from component to component?

Thanks,

Brandon

  • Hey Brandon, 

    There are two things to keep in mind when clocking this device directly with an FPGA. 

    Typically we do not recommend clocking the device with the FPGA directly as it can impact the AC performance due to spurs and phase noise implications. This is regardless of voltage levels, swing, etc. 

    According to the datasheet the minimum required swing is 400mV and we can guarantee this across PVT (process, voltage, temperature). As soon as you drop below 400mV you are no longer operating within the datasheet specs. This could lead to the clock not properly triggering every clock cycle. It doesn't surprise me it is working considering how close it is to the minimum voltage, but we cannot guarantee this will always work. 

    Regards, 

    Matt