CC3301: CC33XX

Part Number: CC3301

Tool/software:

What is happening is I am trying to probe cc33xx , but i am not getting any logs of it in dmesg .

I don't know what is getting wrong!

Attaching below device tree


 

// SPDX-License-Identifier: GPL-2.0
/*
 * AM62A SK: https://www.ti.com/lit/zip/sprr459
 *
 * Copyright (C) 2022 Texas Instruments Incorporated - https://www.ti.com/
 */

/dts-v1/;

#include <dt-bindings/leds/common.h>
#include <dt-bindings/input/input.h>
#include <dt-bindings/net/ti-dp83867.h>
#include "k3-am62a7.dtsi"

/ {
	compatible =  "ti,am62a7-sk", "ti,am62a7";
	model = "Texas Instruments AM62A7 SK";

	aliases {
		serial2 = &main_uart0;
		serial3 = &main_uart1;
		mmc0 = &sdhci0;
		mmc1 = &sdhci1;
		mmc2 = &sdhci2;
	};

	chosen {
		stdout-path = "serial2:115200n8";
	};

	memory@80000000 {
		device_type = "memory";
		/* 4G RAM */
		reg = <0x00000000 0x80000000 0x00000000 0x80000000>,
		      <0x00000008 0x80000000 0x00000000 0x80000000>;
	};

	 battery: battery {
		compatible = "simple-battery";
		constant-charge-current-max-microamp 	= <2500000>;  // 5 A > I > 0.05 A   //9 A to for body but ic support only 5ASS
		constant-charge-voltage-max-microvolt 	= <4200000>;  // 18.8 > V > 3 V  //4.2 for 1S bodycam
		precharge-current-microamp 		= <180000>;
		charge-term-current-microamp 	= <180000>;
    };

	fuel_gauge: battery {
		compatible = "simple-battery";
		voltage-min-design-microvolt 		= <3200000>;  // 3200000 2.5V min
		energy-full-design-microwatt-hours 	= <37800000>; // 5290000 mWh (9000mAh * 3.6V NominalVlt)  (9000mAh * 4.2V MaxVlt)
		charge-full-design-microamp-hours 	= <9000000>; // 9000mAh
    };

	dma_buf_phys {
		compatible = "ti,dma-buf-phys";
	};

	reserved-memory {
		#address-cells = <2>;
		#size-cells = <2>;
		ranges;

		/* global cma region */
		linux,cma {
			compatible = "shared-dma-pool";
			reusable;
			size = <0x00 0x24000000>;
			alloc-ranges = <0x00 0xc0000000 0x00 0x24000000>;
			linux,cma-default;
		};

		secure_tfa_ddr: tfa@9e780000 {
			reg = <0x00 0x9e780000 0x00 0x80000>;
			alignment = <0x1000>;
			no-map;
		};

		secure_ddr: optee@9e800000 {
			reg = <0x00 0x9e800000 0x00 0x01800000>; /* for OP-TEE */
			alignment = <0x1000>;
			no-map;
		};

		wkup_r5fss0_core0_dma_memory_region: r5f-dma-memory@9c800000 {
			compatible = "shared-dma-pool";
			reg = <0x00 0x9c800000 0x00 0x100000>;
			no-map;
		};

		wkup_r5fss0_core0_memory_region: r5f-dma-memory@9c900000 {
			compatible = "shared-dma-pool";
			reg = <0x00 0x9c900000 0x00 0x01e00000>;
			no-map;
		};

		mcu_r5fss0_core0_dma_memory_region: r5f-dma-memory@9b800000 {
			compatible = "shared-dma-pool";
			reg = <0x00 0x9b800000 0x00 0x100000>;
			no-map;
		};

		mcu_r5fss0_core0_memory_region: r5f-dma-memory@9b900000 {
			compatible = "shared-dma-pool";
			reg = <0x00 0x9b900000 0x00 0x0f00000>;
			no-map;
		};

		c7x_0_dma_memory_region: c7x-dma-memory@99800000 {
			compatible = "shared-dma-pool";
			reg = <0x00 0x99800000 0x00 0x100000>;
			no-map;
		};

		c7x_0_memory_region: c7x-memory@99900000 {
			compatible = "shared-dma-pool";
			reg = <0x00 0x99900000 0x00 0x01efffff>;
			no-map;
		};

		edgeai_rtos_ipc_memory_region: edgeai-rtos-ipc-memory-region {
			reg = <0x00 0xa0000000 0x00 0x01000000>;
			no-map;
		};

		edgeai_memory_region: edgeai-dma-memory@a1000000 {
			compatible = "shared-dma-pool";
			reg = <0x00 0xa1000000 0x00 0x02000000>;
			no-map;
		};

		edgeai_shared_region: edgeai_shared-memories {
			compatible = "dma-heap-carveout";
			reg = <0x00 0xa3000000 0x00 0x0b000000>;
		};

		edgeai_core_heaps: edgeai-core-heap-memory@ae000000 {
			compatible = "shared-dma-pool";
			reg = <0x00 0xae000000 0x00 0x12000000>;
			no-map;
		};
	};

	vmain_pd: regulator-0 {
		/* TPS25750 PD CONTROLLER OUTPUT */
		compatible = "regulator-fixed";
		regulator-name = "vmain_pd";
		regulator-min-microvolt = <5000000>;
		regulator-max-microvolt = <5000000>;
		regulator-always-on;
		regulator-boot-on;
	};

	vcc_5v0: regulator-1 {
		/* Output of TPS63070 */
		compatible = "regulator-fixed";
		regulator-name = "vcc_5v0";
		regulator-min-microvolt = <5000000>;
		regulator-max-microvolt = <5000000>;
		vin-supply = <&vmain_pd>;
		regulator-always-on;
		regulator-boot-on;
	};

	vcc_3v3_main: regulator-2 {
		/* output of LM5141-Q1 */
		compatible = "regulator-fixed";
		regulator-name = "vcc_3v3_main";
		regulator-min-microvolt = <3300000>;
		regulator-max-microvolt = <3300000>;
		vin-supply = <&vmain_pd>;
		regulator-always-on;
		regulator-boot-on;
	};

	vcc_3v3_sys: regulator-4 {
		/* output of TPS222965DSGT */
		compatible = "regulator-fixed";
		regulator-name = "vcc_3v3_sys";
		regulator-min-microvolt = <3300000>;
		regulator-max-microvolt = <3300000>;
		vin-supply = <&vcc_3v3_main>;
		regulator-always-on;
		regulator-boot-on;
	};

	vddshv_sdio: regulator-5 {
		compatible = "regulator-gpio";
		regulator-name = "vddshv_sdio";
		pinctrl-names = "default";
		pinctrl-0 = <&vddshv_sdio_pins_default>;
		regulator-min-microvolt = <1800000>;
		regulator-max-microvolt = <3300000>;
		regulator-boot-on;
		vin-supply = <&ldo1>;
		gpios = <&main_gpio0 31 GPIO_ACTIVE_HIGH>;
		states = <1800000 0x0>,
			 <3300000 0x1>;
	};
	
	wlan_lten: regulator-6 {
		compatible = "regulator-fixed";
		regulator-name = "wlan_lten";
		regulator-min-microvolt = <3300000>;
		regulator-max-microvolt = <3300000>;
		vin-supply = <&vcc_3v3_sys>;
		gpios = <&exp1 8 GPIO_ACTIVE_HIGH>;
		enable-active-high;
	};

	wlan_en: regulator-7 {
		compatible = "regulator-fixed";
		regulator-name = "wlan_en";
		regulator-min-microvolt = <1800000>;
		regulator-max-microvolt = <1800000>;
		vin-supply = <&wlan_lten>;
		enable-active-high;
		gpios = <&main_gpio0 71 GPIO_ACTIVE_HIGH>;
		pinctrl-names = "default";
		pinctrl-0 = <&wlan_en_pins_default>;
	};

	bt_en: regulator-8 {
		compatible = "regulator-fixed";
		regulator-name = "bt_en";
		regulator-min-microvolt = <1800000>;
		regulator-max-microvolt = <1800000>;
		vin-supply = <&wlan_en>;
		regulator-always-on;
		gpios = <&exp1 10 GPIO_ACTIVE_LOW>;
	};	

	leds {
		compatible = "gpio-leds";

		backlight {
			label = "backlight";
			gpios = <&exp1 2 GPIO_ACTIVE_HIGH>;
			default-state = "on";
		};

		vibration {
			label = "vibration";
			gpios = <&exp1 3 GPIO_ACTIVE_HIGH>;
			default-state = "on";
		};

		audio_l {
			label = "audio_l";
			gpios = <&exp1 12 GPIO_ACTIVE_HIGH>;
			default-state = "on";
		};

		audio_r {
			label = "audio_r";
			gpios = <&exp1 11 GPIO_ACTIVE_HIGH>;
			default-state = "on";
		};

		gps {
			label = "gps";
			gpios = <&exp1 20 GPIO_ACTIVE_LOW>;
			default-state = "off";
		};

		emmc {
			label = "emmc";
			gpios = <&exp1 10 GPIO_ACTIVE_HIGH>;
			default-state = "on";
		};
	};

	wlan_en_pins_default: wlan-en-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x124, PIN_OUTPUT, 7) /* (F22) MMC2_SDCD.GPIO0_71 */
		>;
	};
	
	
	bodycam_keys: bodycam-keys {
		compatible = "gpio-keys";
		autorepeat;
		pinctrl-names = "default";
		pinctrl-0 = <&push_button_pins_default>;

		switch-record {
			label = "Btn-Record";
			linux,code = <KEY_R>;
			// gpios = <&main_gpio1 25 GPIO_ACTIVE_LOW>;
			interrupts-extended = <&main_gpio1 25 IRQ_TYPE_EDGE_RISING>,
				<&main_pmx0 0x1dc>;
			interrupt-names = "irq", "wakeup";
		};

		switch-capture {
			label = "Btn-Capture";
			linux,code = <KEY_C>;
			// gpios = <&main_gpio0 40 GPIO_ACTIVE_LOW>;
			interrupts-extended = <&main_gpio0 40 IRQ_TYPE_EDGE_RISING>,
				<&main_pmx0 0x0a4>;
			interrupt-names = "irq", "wakeup";
		};

		switch-menu {
			label = "Btn-Menu";
			linux,code = <KEY_M>;
			gpios = <&main_gpio0 38 GPIO_ACTIVE_LOW>;
		};

		switch-forward {
			label = "Btn-Forward";
			linux,code = <KEY_RIGHT>;
			gpios = <&main_gpio0 33 GPIO_ACTIVE_LOW>;
		};

		switch-backward {
			label = "Btn-Backward";
			linux,code = <KEY_LEFT>;
			gpios = <&main_gpio1 10 GPIO_ACTIVE_LOW>;
		};

		switch-select {
			label = "Btn-Select";
			linux,code = <KEY_ENTER>;
			gpios = <&main_gpio0 39 GPIO_ACTIVE_LOW>;
		};

		switch-back {
			label = "Btn-Back";
			linux,code = <KEY_BACKSPACE>;
			gpios = <&main_gpio0 36 GPIO_ACTIVE_LOW>;
		};

		switch-audio {
			label = "Btn-Audio";
			linux,code = <KEY_A>;
			// gpios = <&main_gpio0 41 GPIO_ACTIVE_LOW>;
			interrupts-extended = <&main_gpio0 41 IRQ_TYPE_EDGE_RISING>,
				<&main_pmx0 0x0a8>;
			interrupt-names = "irq", "wakeup";
		};

		switch-function {
			label = "Btn-Function";
			linux,code = <KEY_F>;
			gpios = <&main_gpio0 42 GPIO_ACTIVE_LOW>;
		};

		switch-reset {
			label = "Btn-Reset";
			linux,code = <KEY_X>;
			gpios = <&main_gpio1 9 GPIO_ACTIVE_LOW>;
		};
	};

	tlv320_mclk: clk-0 {
		#clock-cells = <0>;
		compatible = "fixed-clock";
		clock-frequency = <12288000>;
	};

	codec_audio: sound {
		compatible = "simple-audio-card";
		simple-audio-card,name = "AM62Ax-SKEVM";
		simple-audio-card,widgets =
			"Headphone",	"Headphone Jack",
			"Line",		"Line Out",
			"Line",		"Line In",
			"Microphone",	"Microphone Jack";
		simple-audio-card,routing =
			"Headphone Jack",	"HPLOUT",
			"Headphone Jack",	"HPROUT",
			"Line Out",		"LLOUT",
			"Line Out",		"RLOUT",
			"LINE1L",		"Line In",
			"LINE1R",		"Line In",
			"MIC3R",		"Microphone Jack",
			"Microphone Jack",	"Mic Bias";
		simple-audio-card,format = "dsp_b";
		simple-audio-card,bitclock-master = <&sound_master>;
		simple-audio-card,frame-master = <&sound_master>;
		simple-audio-card,bitclock-inversion;

		simple-audio-card,cpu {
			sound-dai = <&mcasp1>;
		};

		sound_master: simple-audio-card,codec {
			sound-dai = <&tlv320aic3106>;
			clocks = <&tlv320_mclk>;
		};
	};

	clk_imx219_fixed: imx219-xclk {
		compatible = "fixed-clock";
		#clock-cells = <0>;
		clock-frequency = <24000000>;
	};
};

&main_pmx0 {
	main_uart0_pins_default: main-uart0-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x1c8, PIN_INPUT, 0) /* (D14) UART0_RXD */
			AM62AX_IOPAD(0x1cc, PIN_OUTPUT_PULLUP, 0) /* (E14) UART0_TXD */
		>;
	};

	main_uart1_pins_default: main-uart1-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x1ac, PIN_INPUT, 2)
			AM62AX_IOPAD(0x1b0, PIN_OUTPUT_PULLUP, 2)
		>;
	};

	main_i2c0_pins_default: main-i2c0-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x1e0, PIN_INPUT_PULLUP, 0) /* (B16) I2C0_SCL */
			AM62AX_IOPAD(0x1e4, PIN_INPUT_PULLUP, 0) /* (A16) I2C0_SDA */
		>;
	};

	main_i2c1_pins_default: main-i2c1-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x1e8, PIN_INPUT_PULLUP, 0) /* (B17) I2C1_SCL */
			AM62AX_IOPAD(0x1ec, PIN_INPUT_PULLUP, 0) /* (A17) I2C1_SDA */
		>;
	};

	main_i2c2_pins_default: main-i2c2-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x0b0, PIN_INPUT_PULLUP, 1) /* (K22) GPMC0_CSn2.I2C2_SCL */
			AM62AX_IOPAD(0x0b4, PIN_INPUT_PULLUP, 1) /* (K24) GPMC0_CSn3.I2C2_SDA */
		>;
	};

	main_mmc1_pins_default: main-mmc1-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x23c, PIN_INPUT, 0) /* (A21) MMC1_CMD */
			AM62AX_IOPAD(0x234, PIN_INPUT, 0) /* (B22) MMC1_CLK */
			AM62AX_IOPAD(0x230, PIN_INPUT, 0) /* (A22) MMC1_DAT0 */
			AM62AX_IOPAD(0x22c, PIN_INPUT, 0) /* (B21) MMC1_DAT1 */
			AM62AX_IOPAD(0x228, PIN_INPUT, 0) /* (C21) MMC1_DAT2 */
			AM62AX_IOPAD(0x224, PIN_INPUT, 0) /* (D22) MMC1_DAT3 */
			AM62AX_IOPAD(0x240, PIN_INPUT, 0) /* (D17) MMC1_SDCD */
		>;
	};

	main_spi0_pins_default: main-spi0-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x1bc, PIN_OUTPUT, 0)  /* (A17) SPI0_CLK */
			AM62AX_IOPAD(0x1c0, PIN_INPUT, 0) /* (B15) SPI0_D0  */	/* MISO */
			AM62AX_IOPAD(0x1c4, PIN_OUTPUT, 0)  /* (E15) SPI0_D1  */	/* MOSI */
			AM62AX_IOPAD(0x1b4, PIN_OUTPUT_PULLUP, 0) /* (D16) SPI0_CS0 */
		    AM62AX_IOPAD(0x1b8, PIN_OUTPUT, 0) /* (C16) SPI0_CS1 */
		>;
	};

	push_button_pins_default:push-button-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x088, PIN_INPUT, 7) /* GPIO0_33 */
			AM62AX_IOPAD(0x094, PIN_INPUT, 7) /* (M18) GPMC0_BE1n.GPIO0_36 */
			AM62AX_IOPAD(0x09c, PIN_INPUT, 7) /* (R17) GPMC0_WAIT1.GPIO0_38 */
			AM62AX_IOPAD(0x0a0, PIN_INPUT, 7) /* (K17) GPMC0_WPn.GPIO0_39 */
			AM62AX_IOPAD(0x0a4, PIN_INPUT, 7) /* (K18) GPMC0_DIR.GPIO0_40 */
			AM62AX_IOPAD(0x0a8, PIN_INPUT, 7) /* (M19) GPMC0_CSn0.GPIO0_41 */
			AM62AX_IOPAD(0x0ac, PIN_INPUT, 7) /* (M21) GPMC0_CSn1.GPIO0_42 */
			AM62AX_IOPAD(0x19c, PIN_INPUT, 7) /* (B18) MCASP0_AXR1.GPIO1_9 */
			AM62AX_IOPAD(0x1a0, PIN_INPUT, 7) /* (B20) MCASP0_AXR0.GPIO1_10 */
			AM62AX_IOPAD(0x1dc, PIN_INPUT, 7) /* (C18) MCAN0_RX.GPIO1_25 */
		>;
	};

	main_mcasp1_pins_default: main-mcasp1-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x090, PIN_INPUT, 2) /* (L19) GPMC0_BE0n_CLE.MCASP1_ACLKX */
			AM62AX_IOPAD(0x098, PIN_INPUT, 2) /* (R18) GPMC0_WAIT0.MCASP1_AFSX */
			AM62AX_IOPAD(0x08c, PIN_OUTPUT, 2) /* (K19) GPMC0_WEn.MCASP1_AXR0 */
			AM62AX_IOPAD(0x084, PIN_INPUT, 2) /* (L18) GPMC0_ADVn_ALE.MCASP1_AXR2 */
		>;
	};

	main_gpio1_ioexp_intr_pins_default: main-gpio1-ioexp-intr-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x01d4, PIN_INPUT, 7) /* (C15) UART0_RTSn.GPIO1_23 */
		>;
	};

	main_dss0_pins_default: main-dss0-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x100, PIN_OUTPUT, 0) /* (V17) VOUT0_VSYNC */
			AM62AX_IOPAD(0x0f8, PIN_OUTPUT, 0) /* (T18) VOUT0_HSYNC */
			AM62AX_IOPAD(0x104, PIN_OUTPUT, 0) /* (AA22) VOUT0_PCLK */
			AM62AX_IOPAD(0x0fc, PIN_OUTPUT, 0) /* (U17) VOUT0_DE */
			AM62AX_IOPAD(0x0b8, PIN_OUTPUT, 0) /* (U22) VOUT0_DATA0 */
			AM62AX_IOPAD(0x0bc, PIN_OUTPUT, 0) /* (U21) VOUT0_DATA1 */
			AM62AX_IOPAD(0x0c0, PIN_OUTPUT, 0) /* (U20) VOUT0_DATA2 */
			AM62AX_IOPAD(0x0c4, PIN_OUTPUT, 0) /* (U19) VOUT0_DATA3 */
			AM62AX_IOPAD(0x0c8, PIN_OUTPUT, 0) /* (T19) VOUT0_DATA4 */
			AM62AX_IOPAD(0x0cc, PIN_OUTPUT, 0) /* (U18) VOUT0_DATA5 */
			AM62AX_IOPAD(0x0d0, PIN_OUTPUT, 0) /* (V22) VOUT0_DATA6 */
			AM62AX_IOPAD(0x0d4, PIN_OUTPUT, 0) /* (V21) VOUT0_DATA7 */
			AM62AX_IOPAD(0x0d8, PIN_OUTPUT, 0) /* (V19) VOUT0_DATA8 */
			AM62AX_IOPAD(0x0dc, PIN_OUTPUT, 0) /* (V18) VOUT0_DATA9 */
			AM62AX_IOPAD(0x0e0, PIN_OUTPUT, 0) /* (W22) VOUT0_DATA10 */
			AM62AX_IOPAD(0x0e4, PIN_OUTPUT, 0) /* (W21) VOUT0_DATA11 */
			AM62AX_IOPAD(0x0e8, PIN_OUTPUT, 0) /* (W20) VOUT0_DATA12 */
			AM62AX_IOPAD(0x0ec, PIN_OUTPUT, 0) /* (W19) VOUT0_DATA13 */
			AM62AX_IOPAD(0x0f0, PIN_OUTPUT, 0) /* (Y21) VOUT0_DATA14 */
			AM62AX_IOPAD(0x0f4, PIN_OUTPUT, 0) /* (Y22) VOUT0_DATA15 */
			AM62AX_IOPAD(0x05c, PIN_OUTPUT, 1) /* (P22) GPMC0_AD8.VOUT0_DATA16 */
			AM62AX_IOPAD(0x060, PIN_OUTPUT, 1) /* (R19) GPMC0_AD9.VOUT0_DATA17 */
		>;
	};

	main_mmc0_pins_default: main-mmc0-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x220, PIN_INPUT, 0) /* (Y3) MMC0_CMD */
			AM62AX_IOPAD(0x218, PIN_INPUT, 0) /* (AB1) MMC0_CLKLB */
			AM62AX_IOPAD(0x21c, PIN_INPUT, 0) /* (AB1) MMC0_CLK */
			AM62AX_IOPAD(0x214, PIN_INPUT, 0) /* (AA2) MMC0_DAT0 */
			AM62AX_IOPAD(0x210, PIN_INPUT_PULLUP, 0) /* (AA1) MMC0_DAT1 */
			AM62AX_IOPAD(0x20c, PIN_INPUT_PULLUP, 0) /* (AA3) MMC0_DAT2 */
			AM62AX_IOPAD(0x208, PIN_INPUT_PULLUP, 0) /* (Y4) MMC0_DAT3 */
			AM62AX_IOPAD(0x204, PIN_INPUT_PULLUP, 0) /* (AB2) MMC0_DAT4 */
			AM62AX_IOPAD(0x200, PIN_INPUT_PULLUP, 0) /* (AC1) MMC0_DAT5 */
			AM62AX_IOPAD(0x1fc, PIN_INPUT_PULLUP, 0) /* (AD2) MMC0_DAT6 */
			AM62AX_IOPAD(0x1f8, PIN_INPUT_PULLUP, 0) /* (AC2) MMC0_DAT7 */
		>;
	};
	
	main_mmc2_pins_default: main-mmc2-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x120, PIN_INPUT, 0) /* (G22) MMC2_CMD */
			AM62AX_IOPAD(0x118, PIN_INPUT, 0) /* (H22) MMC2_CLK */
			AM62AX_IOPAD(0x114, PIN_INPUT, 0) /* (E20) MMC2_DAT0 */
			AM62AX_IOPAD(0x110, PIN_INPUT, 0) /* (F21) MMC2_DAT1 */
			AM62AX_IOPAD(0x10c, PIN_INPUT, 0) /* (F20) MMC2_DAT2 */
			AM62AX_IOPAD(0x108, PIN_INPUT, 0) /* (G21) MMC2_DAT3 */
			AM62AX_IOPAD(0x11c, PIN_INPUT, 0) /* (#N/A) MMC2_CLKB */
		>;
	};

	main_wlirq_pins_default: main-wlirq-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x128, PIN_INPUT, 7) /* (E21) MMC2_SDWP.GPIO0_72 */
		>;
	};
	
	main_uart1_pins_default: main-uart1-pins-default {
		pinctrl-single,pins = < 
			AM62AX_IOPAD(0x1ac, PIN_INPUT, 2)  /* (B21) MCASP0_AFSR.UART1_RXD */
			AM62AX_IOPAD(0x198, PIN_OUTPUT, 2) /* (B19) MCASP0_AXR2.UART1_RTSn */
			AM62AX_IOPAD(0x194, PIN_INPUT, 2)  /* (C19) MCASP0_AXR3.UART1_CTSn */
			AM62AX_IOPAD(0x1b0, PIN_OUTPUT, 2) /* (A21) MCASP0_ACLKR.UART1_TXD */
		>;
	};

	vddshv_sdio_pins_default: vddshv-sdio-pins-default {
		pinctrl-single,pins = <
			AM62AX_IOPAD(0x07c, PIN_OUTPUT, 7) /* (M19) GPMC0_CLK.GPIO0_31 */
		>;
	};

};

&mcu_pmx0 {
	status = "okay";

	pmic_irq_pins_default: pmic-irq-pins-default {
		pinctrl-single,pins = <
			AM62AX_MCU_IOPAD(0x000, PIN_INPUT, 7) /* (E11) MCU_GPIO0_0 */
		>;
	};
};


&sdhci2 {
	status = "okay";
	vmmc-supply = <&wlan_en>;
	pinctrl-names = "default";
	pinctrl-0 = <&main_mmc2_pins_default>;
	bus-width = <4>;
	non-removable;
	ti,fails-without-test-cd;
	cap-power-off-card;
	keep-power-in-suspend;
	ti,driver-strength-ohm = <50>;
	/*
	assigned-clocks = <&k3_clks 157 158>;
	assigned-clock-parents = <&k3_clks 157 160>;
	*/
	#address-cells = <1>;
	#size-cells = <0>;
	wlcore: wlcore@2 {
		compatible = "ti,cc33xx";
		reg = <2>;
		pinctrl-names = "default";
		pinctrl-0 = <&main_wlirq_pins_default>;
		interrupt-parent = <&main_gpio0>;
		interrupts = <72 IRQ_TYPE_EDGE_FALLING>;
	};
};

&main_uart1 {
	status = "okay";
	pinctrl-names = "default";
	pinctrl-0 = <&main_uart1_pins_default>;

	bluetooth {
		compatible = "ti,cc33xx-bt";
		cc33xx-supply = <&bt_en>;
		max-speed = <115200>;
	};

};

&mcu_gpio0 {
	status = "okay";
};

&main_i2c0 {
	status = "okay";
	pinctrl-names = "default";
	pinctrl-0 = <&main_i2c0_pins_default>;
	clock-frequency = <100000>;

	lux-sensor@10{
		compatible = "vishay,veml7700";
		reg = <0x10>;
	};

	exp0: gpio@21 {
		compatible = "ti,tca6416";
		reg = <0x21>;
		gpio-controller;
		#gpio-cells = <2>;

		gpio-line-names = "LED_BATT_RED", "GPIO_IR_CUT",
						"LED_IR_ARRAY", "LED_FLASHER_RED",
						"LED_WHITE", "",
						"", "",
						"", "LED_BATT_GREEN",
						"LED_STATUS_GREEN", "LED_STATUS_RED",
						"LED_STATUS_BLUE", "LED_FLASHER_BLUE",
						"", "";
	};

	typec_pd0: usb-power-controller@3f {
		compatible = "ti,tps6598x";
		reg = <0x3f>;
	};

	tps659312: pmic@48 {
		compatible = "ti,tps6593-q1";
		reg = <0x48>;
		ti,primary-pmic;
		system-power-controller;

		gpio-controller;
		#gpio-cells = <2>;

		pinctrl-names = "default";
		pinctrl-0 = <&pmic_irq_pins_default>;
		interrupt-parent = <&mcu_gpio0>;
		interrupts = <0 IRQ_TYPE_EDGE_FALLING>;

		buck123-supply = <&vcc_3v3_sys>;
		buck4-supply = <&vcc_3v3_sys>;
		buck5-supply = <&vcc_3v3_sys>;
		ldo1-supply = <&vcc_3v3_sys>;
		ldo2-supply = <&vcc_3v3_sys>;
		ldo3-supply = <&buck5>;
		ldo4-supply = <&vcc_3v3_sys>;

		regulators {
			buck123: buck123 {
				regulator-name = "vcc_core";
				regulator-min-microvolt = <715000>;
				regulator-max-microvolt = <895000>;
				regulator-boot-on;
				regulator-always-on;
			};

			buck4: buck4 {
				regulator-name = "vcc_1v1";
				regulator-min-microvolt = <1100000>;
				regulator-max-microvolt = <1100000>;
				regulator-boot-on;
				regulator-always-on;
			};

			buck5: buck5 {
				regulator-name = "vcc_1v8_sys";
				regulator-min-microvolt = <1800000>;
				regulator-max-microvolt = <1800000>;
				regulator-boot-on;
				regulator-always-on;
			};

			ldo1: ldo1 {
				regulator-name = "vddshv5_sdio";
				regulator-min-microvolt = <3300000>;
				regulator-max-microvolt = <3300000>;
				regulator-boot-on;
				regulator-always-on;
			};

			ldo2: ldo2 {
				regulator-name = "vpp_1v8";
				regulator-min-microvolt = <1800000>;
				regulator-max-microvolt = <1800000>;
				regulator-boot-on;
				regulator-always-on;
			};

			ldo3: ldo3 {
				regulator-name = "vcc_0v75";
				regulator-min-microvolt = <750000>;
				regulator-max-microvolt = <750000>;
				regulator-boot-on;
				regulator-always-on;
			};

			ldo4: ldo4 {
				regulator-name = "vdda_1v8";
				regulator-min-microvolt = <1800000>;
				regulator-max-microvolt = <1800000>;
				regulator-boot-on;
				regulator-always-on;
			};
		};
	};

	fuel-gauge@55 {
        compatible = "ti,bq27541";
        reg = <0x55>;
        monitored-battery = <&fuel_gauge>;
	};

	charger@6b {
		compatible = "ti,bq25790";
		reg = <0x6b>;
		monitored-battery 		= <&battery>;
		ti,watchdog-timeout-ms 	= <40000>;				// 160000 > W > 0
		input-voltage-limit-microvolt 	= <4500000>;	// 4.5 or 4.7
		input-current-limit-microamp 	= <3300000>;	// 3300000 > I > 100000
		status = "okay";
	};
};

&main_i2c1 {
	status = "okay";
	pinctrl-names = "default";
	pinctrl-0 = <&main_i2c1_pins_default>;
	clock-frequency = <100000>;

	exp1: gpio@22 {
		compatible = "ti,tca6424";
		reg = <0x22>;
		gpio-controller;
		#gpio-cells = <2>;

		interrupt-parent = <&main_gpio1>;
		interrupts = <23 IRQ_TYPE_EDGE_FALLING>;
		interrupt-controller;
		#interrupt-cells = <2>;

		gpio-line-names = "CSI_GPIO0", "CSI_GPIO1",
						"SOC_WIFI_PWR", "SOC_LTE_PWR",
						"LEPTON_PWR_DWN", "LEPTON_RESET",
						"PD_I2C_IRQ", "VPP_EN",
						"DISP_TE", "GPIO_AUD_RSTn",
						"GPIO_eMMC_RSTn", "AUD_SHT_SDR#",
						"AUD_SHT_SDL#", "SOC_DISP_EN",
						"CPSW_RST", "GPOUT",
						"DISP_RST", "LT_WLAN_SDIO_RST",
						"GPS_EXTINT", "GPS_RST_N",
						"SOC_GPS_ENABLE", "LT_RESET_IN_N",
						"LT_PWR_ON_N", "LT_WAKE_UP";

		pinctrl-names = "default";
		pinctrl-0 = <&main_gpio1_ioexp_intr_pins_default>;
	};

	tlv320aic3106: audio-codec@1b {
		#sound-dai-cells = <0>;
		compatible = "ti,tlv320aic3106";
		reg = <0x1b>;
		ai3x-micbias-vg = <1>;	/* 2.0V */
		ai3x-ocmv = <1>;	/* 1.5V */

		/* Regulators */
		AVDD-supply = <&vcc_3v3_sys>;
		IOVDD-supply = <&vcc_3v3_sys>;
		DRVDD-supply = <&vcc_3v3_sys>;
	};

};

&main_i2c2 {
	status = "okay";
	pinctrl-names = "default";
	pinctrl-0 = <&main_i2c2_pins_default>;
	clock-frequency = <400000>;

	#address-cells = <1>;
	#size-cells = <0>;

	rtc@68{
        compatible = "dallas,ds1307";
        reg = <0x68>;
		#clock-cells = <1>;
    };

	gyro@6a {
		compatible = "st,lsm6dsm";
		reg = <0x6a>;
	};

	/*ov5640: camera@10 {
		compatible = "sony,imx219";
		reg = <0x10>;

		clocks = <&clk_imx219_fixed>;
		clock-names = "xclk";

		reset-gpios = <&exp1 0 GPIO_ACTIVE_HIGH>;

		port {
			csi2_cam0: endpoint {
				remote-endpoint = <&csi2rx0_in_sensor>;
				link-frequencies = /bits/ 64 <496000000>;
				clock-lanes = <0>;
				data-lanes = <1 2 3 4>;
			};
		};
	};
*/

tevi_ar0521_otp_1: tevi_ar0521_otp@54 {
		        compatible = "atmel,24c1024";
				//compatible = "st,m24m01-d", "atmel,24cm02";
				reg = <0x54>;
				pagesize = <128>;
				status="okay";
				//read-only;
			};

		
	tevi_ap1302_0: camera-sensor@3d{
			compatible = "tn,tevi-ap1302";
			reg = <0x3d>;
			//reset-gpios = <&gpio1 6 GPIO_ACTIVE_HIGH>;
			//pinctrl-names = "default";
			//pinctrl-0 = <&pinctrl_csi_pwn>, <&pinctrl_csi_rst>;

			clocks = <&clk_imx219_fixed>;
			clock-names = "xclk";

                 
                host-power-gpios = <&exp1 14 GPIO_ACTIVE_HIGH>;  // need to check
		       reset-gpios = <&exp1 0 GPIO_ACTIVE_HIGH>;
		       device-power-gpios = <&exp1 1 GPIO_ACTIVE_HIGH>;  // need to check
	      	    standby-gpios = <&exp1 7 GPIO_ACTIVE_HIGH>;     // need to check
	      	 

				nvmem = <&tevi_ar0521_otp_1>;
				nvmem-names = "calib-data";
				pixel-rate = <61430400>; /* 61.43MHz */
				data-lanes = <2>;
				supports-over-4k-res;
				continuous-clock = <0>;
				status = "okay";
                  
                   
			port {
				ap1302_0_ep: endpoint {
					clock-lanes=<0>;
					data-lanes = <1 2> ;
					remote-endpoint = <&mipi1_sensor_ep>;
				};
			};
			
		};
};

&main_spi0{
	status = "okay";
	pinctrl-names = "default";
	pinctrl-0 = <&main_spi0_pins_default>;

	num_cs = <2>;
	cs-gpios  = <&main_gpio1 15 GPIO_ACTIVE_LOW>, <&main_gpio1 16 GPIO_ACTIVE_LOW>;

	#address-cells = <1>;
	#size-cells = <0>;

	panel@1 {
        compatible = "sitronix,st7789v";
        reg = <1>;

        reset-gpios		= <&exp1 16 GPIO_ACTIVE_LOW>;
        power-supply	= <&vcc_3v3_sys>;
		rotation		= <270>;

        spi-max-frequency = <1000000>;
		spi-cpol;
		port {
			panel_in: endpoint {
				remote-endpoint = <&dpi1_out>;
			};
		};
	};
};

&sdhci1 {
	/* SD/MMC */
	status = "okay";
	vmmc-supply = <&vcc_3v3_sys>;
	vqmmc-supply = <&vddshv_sdio>;
	pinctrl-names = "default";
	pinctrl-0 = <&main_mmc1_pins_default>;
	ti,driver-strength-ohm = <50>;
	disable-wp;
};

&main_gpio0 {
	status = "okay";
};

&main_gpio1 {
	status = "okay";
};

&main_gpio_intr {
	status = "okay";
};

&main_uart0 {
	status = "okay";
	pinctrl-names = "default";
	pinctrl-0 = <&main_uart0_pins_default>;
	interrupts-extended = <&gic500 GIC_SPI 178 IRQ_TYPE_LEVEL_HIGH>,
			<&main_pmx0 0x1c8>; /* (D14) UART0_RXD PADCONFIG114 */
	interrupt-names = "irq", "wakeup";
};

&main_uart1 {
	status = "okay";
	pinctrl-names = "default";
	pinctrl-0 = <&main_uart1_pins_default>;
};

&usbss0 {
	status = "okay";
	ti,vbus-divider;
};

&usb0 {
	dr_mode = "otg";
};

&mcasp1 {
	status = "okay";
	#sound-dai-cells = <0>;

	pinctrl-names = "default";
	pinctrl-0 = <&main_mcasp1_pins_default>;

	op-mode = <0>;          /* MCASP_IIS_MODE */
	tdm-slots = <2>;

	serial-dir = <  /* 0: INACTIVE, 1: TX, 2: RX */
	       1 0 2 0
	       0 0 0 0
	       0 0 0 0
	       0 0 0 0
	>;
	tx-num-evt = <32>;
	rx-num-evt = <32>;
};

&ti_csi2rx0 {
	status = "okay";
};

&csi0_port0 {
	status = "okay";

	mipi1_sensor_ep: endpoint {
		remote-endpoint = <&ap1302_0_ep>;
		bus-type = <4>; /* CSI2 DPHY. */
		clock-lanes = <0>;
		data-lanes = <1 2>;
	};
};

&dphy0 {
	status = "okay";
};

&dss {
	pinctrl-names = "default";
	pinctrl-0 = <&main_dss0_pins_default>;
};

&dss_ports {
	/* VP2: DPI Output */
	port@0 {
		reg = <0>;

		dpi1_out: endpoint {
			remote-endpoint = <&panel_in>;
		};
	};
};

&mailbox0_cluster0 {
	ti,mbox-num-fifos = <2>;
	mbox_r5_0: mbox-r5-0 {
		ti,mbox-rx = <0 0 0>;
		ti,mbox-tx = <1 0 0>;
	};
};

&mailbox0_cluster1 {
	ti,mbox-num-fifos = <2>;
	mbox_c7x_0: mbox-c7x-0 {
		ti,mbox-rx = <0 0 0>;
		ti,mbox-tx = <1 0 0>;
	};
};

&mailbox0_cluster2 {
	ti,mbox-num-fifos = <2>;
	mbox_mcu_r5_0: mbox-mcu_r5-0 {
		ti,mbox-rx = <0 0 0>;
		ti,mbox-tx = <1 0 0>;
	};
};

&c7x_0 {
	mboxes = <&mailbox0_cluster1 &mbox_c7x_0>;
	memory-region = <&c7x_0_dma_memory_region>,
			<&c7x_0_memory_region>;
};

&wkup_r5fss0_core0 {
	mboxes = <&mailbox0_cluster0 &mbox_r5_0>;
	memory-region = <&wkup_r5fss0_core0_dma_memory_region>,
		<&wkup_r5fss0_core0_memory_region>;
};

&mcu_r5fss0_core0 {
	mboxes = <&mailbox0_cluster2 &mbox_mcu_r5_0>;
	memory-region = <&mcu_r5fss0_core0_dma_memory_region>,
			<&mcu_r5fss0_core0_memory_region>;
};

#define K3_TS_OFFSET(pa, val)	(0x4+(pa)*4) (0x10000 | val)

&timesync_router {
	status = "okay";
	pinctrl-names = "default";
	pinctrl-0 = <&cpsw_cpts>;

	/* Use Time Sync Router to map GENF1 input to HW3_TS_PUSH output */
	cpsw_cpts: cpsw-cpts {
		pinctrl-single,pins = <
			/* pps [cpsw cpts genf1] in17 -> out12 [cpsw cpts hw3_push] */
			K3_TS_OFFSET(12, 17)
			>;
	};
};

&sdhci0 {
	status = "okay";
	pinctrl-names = "default";
	pinctrl-0 = <&main_mmc0_pins_default>;
	ti,driver-strength-ohm = <50>;
	disable-wp;
};

/delete-node/&fss;
/delete-node/&cpsw3g;
/delete-node/&timesync_router;

/delete-node/&usbss1;

/delete-node/&epwm0;
/delete-node/&epwm1;
/delete-node/&epwm2;

/delete-node/&eqep0;
/delete-node/&eqep1;
/delete-node/&eqep2;

/delete-node/&ecap0;
/delete-node/&ecap1;
/delete-node/&ecap2;

/delete-node/&main_uart2;
/delete-node/&main_uart3;
/delete-node/&main_uart4;
/delete-node/&main_uart5;
/delete-node/&main_uart6;

/delete-node/&main_spi1;
/delete-node/&main_spi2;

/delete-node/&main_i2c3;

/delete-node/&mcasp0;
/delete-node/&mcasp2;

/delete-node/&main_conf;

/delete-node/&main_mcan0;

/delete-node/&main_timer0;
/delete-node/&main_timer1;
/delete-node/&main_timer2;
/delete-node/&main_timer3;
/delete-node/&main_timer4;
/delete-node/&main_timer5;
/delete-node/&main_timer6;

/delete-node/&mcu_spi0;
/delete-node/&mcu_spi1;


// MCU CORE DISABLE
/delete-node/&mailbox0_cluster2;
/delete-node/&mailbox0_cluster3;

/delete-node/&hwspinlock;

/delete-node/&mcu_r5fss0;
/delete-node/&mcu_r5fss0_core0_dma_memory_region;
/delete-node/&mcu_r5fss0_core0_memory_region;

// WAKEUP RTC

/delete-node/&wkup_i2c0;
/delete-node/&wkup_rtc0;

  • These are my full dmesg logs .

    I have propely added in menuconfig and device tree still it's not giving any logs in device tree , what might be the reason.



    [    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
    [    0.000000] Linux version 6.1.46-g247b2535b2 (oe-user@oe-host) (aarch64-oe-linux-gcc (GCC) 11.4.0, GNU ld (GNU Binutils) 2.38.20220708) #1 SMP PREEMPT Wed Dec  6 17:54:04 UTC 2023
    [    0.000000] Machine model: Texas Instruments AM62A7 SK
    [    0.000000] earlycon: ns16550a0 at MMIO32 0x0000000002800000 (options '')
    [    0.000000] printk: bootconsole [ns16550a0] enabled
    [    0.000000] efi: UEFI not found.
    [    0.000000] Reserved memory: created CMA memory pool at 0x00000000c0000000, size 576 MiB
    [    0.000000] OF: reserved mem: initialized node linux,cma, compatible id shared-dma-pool
    [    0.000000] Reserved memory: created DMA memory pool at 0x0000000099800000, size 1 MiB
    [    0.000000] OF: reserved mem: initialized node c7x-dma-memory@99800000, compatible id shared-dma-pool
    [    0.000000] Reserved memory: created DMA memory pool at 0x0000000099900000, size 30 MiB
    [    0.000000] OF: reserved mem: initialized node c7x-memory@99900000, compatible id shared-dma-pool
    [    0.000000] Reserved memory: created DMA memory pool at 0x000000009c800000, size 1 MiB
    [    0.000000] OF: reserved mem: initialized node r5f-dma-memory@9c800000, compatible id shared-dma-pool
    [    0.000000] Reserved memory: created DMA memory pool at 0x000000009c900000, size 30 MiB
    [    0.000000] OF: reserved mem: initialized node r5f-dma-memory@9c900000, compatible id shared-dma-pool
    [    0.000000] Reserved memory: created DMA memory pool at 0x00000000a1000000, size 32 MiB
    [    0.000000] OF: reserved mem: initialized node edgeai-dma-memory@a1000000, compatible id shared-dma-pool
    [    0.000000] OF: reserved mem: initialized node edgeai_shared-memories, compatible id dma-heap-carveout
    [    0.000000] Reserved memory: created DMA memory pool at 0x00000000ae000000, size 288 MiB
    [    0.000000] OF: reserved mem: initialized node edgeai-core-heap-memory@ae000000, compatible id shared-dma-pool
    [    0.000000] Zone ranges:
    [    0.000000]   DMA      [mem 0x0000000080000000-0x00000000ffffffff]
    [    0.000000]   DMA32    empty
    [    0.000000]   Normal   [mem 0x0000000100000000-0x00000008ffffffff]
    [    0.000000] Movable zone start for each node
    [    0.000000] Early memory node ranges
    [    0.000000]   node   0: [mem 0x0000000080000000-0x00000000997fffff]
    [    0.000000]   node   0: [mem 0x0000000099800000-0x000000009b7fefff]
    [    0.000000]   node   0: [mem 0x000000009b800000-0x000000009c7fffff]
    [    0.000000]   node   0: [mem 0x000000009c800000-0x000000009e6fffff]
    [    0.000000]   node   0: [mem 0x000000009e700000-0x000000009e77ffff]
    [    0.000000]   node   0: [mem 0x000000009e780000-0x00000000a2ffffff]
    [    0.000000]   node   0: [mem 0x00000000a3000000-0x00000000adffffff]
    [    0.000000]   node   0: [mem 0x00000000ae000000-0x00000000bfffffff]
    [    0.000000]   node   0: [mem 0x00000000c0000000-0x00000000ffffffff]
    [    0.000000]   node   0: [mem 0x0000000880000000-0x00000008ffffffff]
    [    0.000000] Initmem setup node 0 [mem 0x0000000080000000-0x00000008ffffffff]
    [    0.000000] On node 0, zone DMA: 1 pages in unavailable ranges
    [    0.000000] psci: probing for conduit method from DT.
    [    0.000000] psci: PSCIv1.1 detected in firmware.
    [    0.000000] psci: Using standard PSCI v0.2 function IDs
    [    0.000000] psci: Trusted OS migration not required
    [    0.000000] psci: SMC Calling Convention v1.4
    [    0.000000] percpu: Embedded 19 pages/cpu s38056 r8192 d31576 u77824
    [    0.000000] pcpu-alloc: s38056 r8192 d31576 u77824 alloc=19*4096
    [    0.000000] pcpu-alloc: [0] 0 [0] 1 [0] 2 [0] 3 
    [    0.000000] Detected VIPT I-cache on CPU0
    [    0.000000] CPU features: detected: GIC system register CPU interface
    [    0.000000] CPU features: detected: ARM erratum 845719
    [    0.000000] alternatives: applying boot alternatives
    [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 1032191
    [    0.000000] Kernel command line: console=ttyS2,115200n8 earlycon=ns16550a,mmio32,0x02800000 mtdparts=spi-nand0:512k(ospi_nand.tiboot3),2m(ospi_nand.tispl),4m(ospi_nand.u-boot),256k(ospi_nand.env),256k(ospi_nand.env.backup),98048k@32m(ospi_nand.rootfs),256k@130816k(ospi_nand.phypattern) root=PARTUUID=602ca3a6-02 rw rootfstype=ext4 rootwait
    [    0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes, linear)
    [    0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
    [    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
    [    0.000000] software IO TLB: area num 4.
    [    0.000000] software IO TLB: mapped [mem 0x00000000fbfff000-0x00000000fffff000] (64MB)
    [    0.000000] Memory: 2817600K/4194300K available (16000K kernel code, 1398K rwdata, 6608K rodata, 2432K init, 453K bss, 786876K reserved, 589824K cma-reserved)
    [    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=4, Nodes=1
    [    0.000000] rcu: Preemptible hierarchical RCU implementation.
    [    0.000000] rcu: 	RCU event tracing is enabled.
    [    0.000000] rcu: 	RCU restricting CPUs from NR_CPUS=256 to nr_cpu_ids=4.
    [    0.000000] 	Trampoline variant of Tasks RCU enabled.
    [    0.000000] 	Tracing variant of Tasks RCU enabled.
    [    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
    [    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
    [    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
    [    0.000000] GICv3: GIC: Using split EOI/Deactivate mode
    [    0.000000] GICv3: 256 SPIs implemented
    [    0.000000] GICv3: 0 Extended SPIs implemented
    [    0.000000] Root IRQ handler: gic_handle_irq
    [    0.000000] GICv3: GICv3 features: 16 PPIs
    [    0.000000] GICv3: CPU0: found redistributor 0 region 0:0x0000000001880000
    [    0.000000] ITS [mem 0x01820000-0x0182ffff]
    [    0.000000] GIC: enabling workaround for ITS: Socionext Synquacer pre-ITS
    [    0.000000] ITS@0x0000000001820000: Devices Table too large, reduce ids 20->19
    [    0.000000] ITS@0x0000000001820000: allocated 524288 Devices @880800000 (flat, esz 8, psz 64K, shr 0)
    [    0.000000] ITS: using cache flushing for cmd queue
    [    0.000000] GICv3: using LPI property table @0x0000000880040000
    [    0.000000] GIC: using cache flushing for LPI property table
    [    0.000000] GICv3: CPU0: using allocated LPI pending table @0x0000000880050000
    [    0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention.
    [    0.000000] arch_timer: cp15 timer(s) running at 200.00MHz (phys).
    [    0.000000] clocksource: arch_sys_counter: mask: 0x3ffffffffffffff max_cycles: 0x2e2049d3e8, max_idle_ns: 440795210634 ns
    [    0.000000] sched_clock: 58 bits at 200MHz, resolution 5ns, wraps every 4398046511102ns
    [    0.008469] Console: colour dummy device 80x25
    [    0.013056] Calibrating delay loop (skipped), value calculated using timer frequency.. 400.00 BogoMIPS (lpj=800000)
    [    0.023738] pid_max: default: 32768 minimum: 301
    [    0.028503] LSM: Security Framework initializing
    [    0.033327] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes, linear)
    [    0.040908] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes, linear)
    [    0.050362] cblist_init_generic: Setting adjustable number of callback queues.
    [    0.057797] cblist_init_generic: Setting shift to 2 and lim to 1.
    [    0.064088] cblist_init_generic: Setting adjustable number of callback queues.
    [    0.071482] cblist_init_generic: Setting shift to 2 and lim to 1.
    [    0.077857] rcu: Hierarchical SRCU implementation.
    [    0.082763] rcu: 	Max phase no-delay instances is 1000.
    [    0.088323] Platform MSI: msi-controller@1820000 domain created
    [    0.094548] PCI/MSI: /bus@f0000/interrupt-controller@1800000/msi-controller@1820000 domain created
    [    0.103905] EFI services will not be available.
    [    0.108756] smp: Bringing up secondary CPUs ...
    [    0.113957] Detected VIPT I-cache on CPU1
    [    0.114044] GICv3: CPU1: found redistributor 1 region 0:0x00000000018a0000
    [    0.114059] GICv3: CPU1: using allocated LPI pending table @0x0000000880060000
    [    0.114105] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
    [    0.114720] Detected VIPT I-cache on CPU2
    [    0.114788] GICv3: CPU2: found redistributor 2 region 0:0x00000000018c0000
    [    0.114802] GICv3: CPU2: using allocated LPI pending table @0x0000000880070000
    [    0.114832] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
    [    0.115382] Detected VIPT I-cache on CPU3
    [    0.115449] GICv3: CPU3: found redistributor 3 region 0:0x00000000018e0000
    [    0.115463] GICv3: CPU3: using allocated LPI pending table @0x0000000880080000
    [    0.115491] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
    [    0.115552] smp: Brought up 1 node, 4 CPUs
    [    0.195277] SMP: Total of 4 processors activated.
    [    0.200090] CPU features: detected: 32-bit EL0 Support
    [    0.205360] CPU features: detected: CRC32 instructions
    [    0.210665] CPU: All CPU(s) started at EL2
    [    0.214863] alternatives: applying system-wide alternatives
    [    0.222059] devtmpfs: initialized
    [    0.232573] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
    [    0.242579] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
    [    0.263106] pinctrl core: initialized pinctrl subsystem
    [    0.268986] DMI not present or invalid.
    [    0.273463] NET: Registered PF_NETLINK/PF_ROUTE protocol family
    [    0.280438] DMA: preallocated 512 KiB GFP_KERNEL pool for atomic allocations
    [    0.287901] DMA: preallocated 512 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations
    [    0.295984] DMA: preallocated 512 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
    [    0.304142] audit: initializing netlink subsys (disabled)
    [    0.309789] audit: type=2000 audit(0.200:1): state=initialized audit_enabled=0 res=1
    [    0.310181] thermal_sys: Registered thermal governor 'step_wise'
    [    0.317717] thermal_sys: Registered thermal governor 'power_allocator'
    [    0.323895] cpuidle: using governor menu
    [    0.334756] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
    [    0.341774] ASID allocator initialised with 65536 entries
    [    0.356072] platform 30200000.dss: Fixed dependency cycle(s) with /bus@f0000/spi@20100000/panel@1
    [    0.367964] KASLR disabled due to lack of seed
    [    0.382593] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages
    [    0.389560] HugeTLB: 0 KiB vmemmap can be freed for a 1.00 GiB page
    [    0.396001] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages
    [    0.402942] HugeTLB: 0 KiB vmemmap can be freed for a 32.0 MiB page
    [    0.409351] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages
    [    0.416290] HugeTLB: 0 KiB vmemmap can be freed for a 2.00 MiB page
    [    0.422698] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages
    [    0.429637] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page
    [    0.437278] k3-chipinfo 43000014.chipid: Family:AM62AX rev:SR1.0 JTAGID[0x0bb8d02f] Detected
    [    0.447313] iommu: Default domain type: Translated 
    [    0.452319] iommu: DMA domain TLB invalidation policy: strict mode 
    [    0.459061] SCSI subsystem initialized
    [    0.463020] libata version 3.00 loaded.
    [    0.463189] usbcore: registered new interface driver usbfs
    [    0.468834] usbcore: registered new interface driver hub
    [    0.474291] usbcore: registered new device driver usb
    [    0.479781] mc: Linux media interface: v0.10
    [    0.484187] pps_core: LinuxPPS API ver. 1 registered
    [    0.489264] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
    [    0.498612] PTP clock support registered
    [    0.502739] EDAC MC: Ver: 3.0.0
    [    0.506673] omap-mailbox 29000000.mailbox: omap mailbox rev 0x66fca100
    [    0.513503] omap-mailbox 29010000.mailbox: omap mailbox rev 0x66fca100
    [    0.520479] FPGA manager framework
    [    0.524024] Advanced Linux Sound Architecture Driver Initialized.
    [    0.530777] Bluetooth: Core ver 2.22
    [    0.534481] NET: Registered PF_BLUETOOTH protocol family
    [    0.539914] Bluetooth: HCI device and connection manager initialized
    [    0.546414] Bluetooth: HCI socket layer initialized
    [    0.551403] Bluetooth: L2CAP socket layer initialized
    [    0.556578] Bluetooth: SCO socket layer initialized
    [    0.562176] clocksource: Switched to clocksource arch_sys_counter
    [    0.568624] VFS: Disk quotas dquot_6.6.0
    [    0.572673] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
    [    0.585427] Carveout Heap: Exported 176 MiB at 0x00000000a3000000
    [    0.591766] NET: Registered PF_INET protocol family
    [    0.596942] IP idents hash table entries: 65536 (order: 7, 524288 bytes, linear)
    [    0.607394] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes, linear)
    [    0.616200] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear)
    [    0.624137] TCP established hash table entries: 32768 (order: 6, 262144 bytes, linear)
    [    0.632452] TCP bind hash table entries: 32768 (order: 8, 1048576 bytes, linear)
    [    0.640824] TCP: Hash tables configured (established 32768 bind 32768)
    [    0.647666] UDP hash table entries: 2048 (order: 4, 65536 bytes, linear)
    [    0.654622] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes, linear)
    [    0.662138] NET: Registered PF_UNIX/PF_LOCAL protocol family
    [    0.668311] RPC: Registered named UNIX socket transport module.
    [    0.674390] RPC: Registered udp transport module.
    [    0.679199] RPC: Registered tcp transport module.
    [    0.684008] RPC: Registered tcp NFSv4.1 backchannel transport module.
    [    0.691296] NET: Registered PF_XDP protocol family
    [    0.696214] PCI: CLS 0 bytes, default 64
    [    0.700857] hw perfevents: enabled with armv8_cortex_a53 PMU driver, 7 counters available
    [    0.710656] Initialise system trusted keyrings
    [    0.715409] workingset: timestamp_bits=46 max_order=20 bucket_order=0
    [    0.726277] squashfs: version 4.0 (2009/01/31) Phillip Lougher
    [    0.732756] NFS: Registering the id_resolver key type
    [    0.737964] Key type id_resolver registered
    [    0.742242] Key type id_legacy registered
    [    0.746393] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
    [    0.753249] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering...
    [    0.797414] Key type asymmetric registered
    [    0.801608] Asymmetric key parser 'x509' registered
    [    0.806638] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 244)
    [    0.814333] io scheduler mq-deadline registered
    [    0.818972] io scheduler kyber registered
    [    0.825363] pinctrl-single 4084000.pinctrl: 34 pins, size 136
    [    0.831827] pinctrl-single f4000.pinctrl: 151 pins, size 604
    [    0.843027] Serial: 8250/16550 driver, 12 ports, IRQ sharing enabled
    [    0.858035] loop: module loaded
    [    0.862491] megasas: 07.719.03.00-rc1
    [    0.867233] MACsec IEEE 802.1AE
    [    0.872320] tun: Universal TUN/TAP device driver, 1.6
    [    0.878131] thunder_xcv, ver 1.0
    [    0.881472] thunder_bgx, ver 1.0
    [    0.884798] nicpf, ver 1.0
    [    0.887706] e1000: Intel(R) PRO/1000 Network Driver
    [    0.892694] e1000: Copyright (c) 1999-2006 Intel Corporation.
    [    0.898606] e1000e: Intel(R) PRO/1000 Network Driver
    [    0.903681] e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
    [    0.909762] igb: Intel(R) Gigabit Ethernet Network Driver
    [    0.915281] igb: Copyright (c) 2007-2014 Intel Corporation.
    [    0.921005] igbvf: Intel(R) Gigabit Virtual Function Network Driver
    [    0.927413] igbvf: Copyright (c) 2009 - 2012 Intel Corporation.
    [    0.933570] sky2: driver version 1.30
    [    0.937757] PPP generic driver version 2.4.2
    [    0.942269] PPP BSD Compression module registered
    [    0.947084] PPP Deflate Compression module registered
    [    0.952450] PPP MPPE Compression module registered
    [    0.957357] NET: Registered PF_PPPOX protocol family
    [    0.962492] SLIP: version 0.8.4-NET3.019-NEWTTY (dynamic channels, max=256).
    [    0.970049] usbcore: registered new interface driver rt2500usb
    [    0.976051] usbcore: registered new interface driver rt73usb
    [    0.981867] usbcore: registered new interface driver rt2800usb
    [    0.987886] usbcore: registered new interface driver rtl8187
    [    0.993701] usbcore: registered new interface driver rtl8xxxu
    [    0.999742] zd1211rw usb_init()
    [    0.999866] usbcore: registered new interface driver zd1211rw
    [    1.005747] zd1211rw initialized
    [    1.005781] usbcore: registered new interface driver zd1201
    [    1.011512] usbcore: registered new interface driver rndis_wlan
    [    1.017679] mac80211_hwsim: initializing netlink
    [    1.022623] ieee80211 phy0: Selected rate control algorithm 'minstrel_ht'
    [    1.024072] ieee80211 phy1: Selected rate control algorithm 'minstrel_ht'
    [    1.025809] usbcore: registered new interface driver cdc_ether
    [    1.031838] usbcore: registered new interface driver rndis_host
    [    1.038101] VFIO - User Level meta-driver version: 0.3
    [    1.044519] usbcore: registered new interface driver cdc_acm
    [    1.050326] cdc_acm: USB Abstract Control Model driver for USB modems and ISDN adapters
    [    1.058550] usbcore: registered new interface driver usb-storage
    [    1.064831] UDC core: g_cdc: couldn't find an available UDC
    [    1.070558] UDC core: g_multi: couldn't find an available UDC
    [    1.076454] UDC core: g_acm_ms: couldn't find an available UDC
    [    1.082740] i2c_dev: i2c /dev entries driver
    [    1.088443] sdhci: Secure Digital Host Controller Interface driver
    [    1.094794] sdhci: Copyright(c) Pierre Ossman
    [    1.099695] VUB300 Driver rom wait states = 1C irqpoll timeout = 0400
    [    1.099967] usbcore: registered new interface driver vub300
    [    1.112295] usbcore: registered new interface driver ushc
    [    1.117817] sdhci-pltfm: SDHCI platform and OF driver helper
    [    1.124541] ledtrig-cpu: registered to indicate activity on CPUs
    [    1.130854] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping ....
    [    1.137717] usbcore: registered new interface driver usbhid
    [    1.143419] usbhid: USB HID core driver
    [    1.148149] optee: probing for conduit method.
    [    1.152730] optee: revision 4.0 (2a5b1d12)
    [    1.152993] optee: dynamic shared memory is enabled
    [    1.162535] optee: initialized driver
    [    1.167614] Initializing XFRM netlink socket
    [    1.172299] NET: Registered PF_INET6 protocol family
    [    1.178448] Segment Routing with IPv6
    [    1.182235] In-situ OAM (IOAM) with IPv6
    [    1.186297] NET: Registered PF_PACKET protocol family
    [    1.191495] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this.
    [    1.204806] l2tp_core: L2TP core driver, V2.0
    [    1.209267] l2tp_ppp: PPPoL2TP kernel driver, V2.0
    [    1.214169] lib80211: common routines for IEEE802.11 drivers
    [    1.219955] lib80211_crypt: registered algorithm 'NULL'
    [    1.219960] lib80211_crypt: registered algorithm 'WEP'
    [    1.219964] lib80211_crypt: registered algorithm 'CCMP'
    [    1.219970] lib80211_crypt: registered algorithm 'TKIP'
    [    1.220137] Key type dns_resolver registered
    [    1.224925] registered taskstats version 1
    [    1.229145] Loading compiled-in X.509 certificates
    [    1.242209] ti-sci 44043000.system-controller: ABI: 3.1 (firmware rev 0x0009 '9.1.8--v09.01.08 (Kool Koala)')
    [    1.265921] pca953x 0-0021: supply vcc not found, using dummy regulator
    [    1.272863] pca953x 0-0021: using no AI
    [    1.298340] pca953x 0-0021: failed writing register
    [    1.303495] pca953x: probe of 0-0021 failed with error -121
    [    1.310250] bq27xxx-battery 0-0055: data memory update not supported for chip
    [    1.327891] Charge Current MSB : 2500000 
    [    1.333076] Charge Current LSB : 2500000 
    [    1.349712] omap_i2c 20000000.i2c: bus 0 rev0.12 at 100 kHz
    [    1.357062] omap_i2c 20010000.i2c: bus 1 rev0.12 at 100 kHz
    [    1.364103] at24 2-0054: supply vcc not found, using dummy regulator
    [    1.400833] i2c 2-003d: Fixed dependency cycle(s) with /bus@f0000/ticsi2rx@30102000/csi-bridge@30101000
    [    1.410612] omap_i2c 20020000.i2c: bus 2 rev0.12 at 400 kHz
    [    1.416600] ti-sci-intr 4210000.interrupt-controller: Interrupt Router 5 domain created
    [    1.424927] ti-sci-intr bus@f0000:interrupt-controller@a00000: Interrupt Router 3 domain created
    [    1.434152] ti-sci-inta 48000000.interrupt-controller: Interrupt Aggregator domain 28 created
    [    1.443141] ti-sci-inta 4e0a0000.interrupt-controller: Interrupt Aggregator domain 200 created
    [    1.452195] ti-udma 485c0100.dma-controller: Number of rings: 82
    [    1.460211] ti-udma 485c0100.dma-controller: Channels: 48 (bchan: 18, tchan: 12, rchan: 18)
    [    1.471044] ti-udma 485c0000.dma-controller: Number of rings: 150
    [    1.480878] ti-udma 485c0000.dma-controller: Channels: 35 (tchan: 20, rchan: 15)
    [    1.490236] ti-udma 4e230000.dma-controller: Number of rings: 6
    [    1.496684] ti-udma 4e230000.dma-controller: Channels: 6 (bchan: 0, tchan: 0, rchan: 6)
    [    1.505900] printk: console [ttyS2] disabled
    [    1.510338] 2800000.serial: ttyS2 at MMIO 0x2800000 (irq = 245, base_baud = 3000000) is a 8250
    [    1.519219] printk: console [ttyS2] enabled
    [    1.527676] printk: bootconsole [ns16550a0] disabled
    [    1.538696] 2810000.serial: ttyS3 at MMIO 0x2810000 (irq = 247, base_baud = 3000000) is a 8250
    [    1.555607] cpufreq: cpufreq_online: CPU0: Running at unlisted initial frequency: 1200000 KHz, changing to: 1000000 KHz
    [    1.568230] mmc0: CQHCI version 5.10
    [    1.613214] mmc0: SDHCI controller on fa10000.mmc [fa10000.mmc] using ADMA 64-bit
    [    1.703492] mmc0: Command Queue Engine enabled
    [    1.708057] mmc0: new HS200 MMC card at address 0001
    [    1.714120] mmcblk0: mmc0:0001 G1M15L 29.6 GiB 
    [    1.721684] mmcblk0boot0: mmc0:0001 G1M15L 31.5 MiB 
    [    1.727733] mmcblk0boot1: mmc0:0001 G1M15L 31.5 MiB 
    [    1.733702] mmcblk0rpmb: mmc0:0001 G1M15L 4.00 MiB, chardev (237:0)
    [    2.179575] tps6594-rtc tps6594-rtc.4.auto: registered as rtc0
    [    2.189105] tps6594-rtc tps6594-rtc.4.auto: setting system clock to 2022-04-28T20:04:07 UTC (1651176247)
    [    2.199424] pca953x 1-0022: supply vcc not found, using dummy regulator
    [    2.206332] pca953x 1-0022: using AI
    [    2.235654] regulator-6 GPIO handle specifies active low - ignored
    [    2.245441] debugfs: Directory 'pd:182' with parent 'pm_genpd' already present!
    [    2.245596] mmc1: CQHCI version 5.10
    [    2.253009] mmc2: CQHCI version 5.10
    [    2.253278] debugfs: Directory 'pd:182' with parent 'pm_genpd' already present!
    [    2.253287] debugfs: Directory 'pd:182' with parent 'pm_genpd' already present!
    [    2.256508] input: bodycam-keys as /devices/platform/bodycam-keys/input/input0
    [    2.282868] cfg80211: Loading compiled-in X.509 certificates for regulatory database
    [    2.292943] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
    [    2.299616] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
    [    2.304471] ALSA device list:
    [    2.308254] cfg80211: failed to load regulatory.db
    [    2.311218]   No soundcards found.
    [    2.315737] mmc1: SDHCI controller on fa00000.mmc [fa00000.mmc] using ADMA 64-bit
    [    2.322908] mmc2: SDHCI controller on fa20000.mmc [fa20000.mmc] using ADMA 64-bit
    [    2.335090] Waiting for root device PARTUUID=602ca3a6-02...
    [    2.381562] mmc1: new ultra high speed SDR104 SDXC card at address 5048
    [    2.389143] mmcblk1: mmc1:5048 SD128 119 GiB 
    [    2.396266]  mmcblk1: p1 p2
    [    2.422703] EXT4-fs (mmcblk1p2): mounted filesystem with ordered data mode. Quota mode: none.
    [    2.431336] VFS: Mounted root (ext4 filesystem) on device 179:98.
    [    2.438315] devtmpfs: mounted
    [    2.442105] Freeing unused kernel memory: 2432K
    [    2.446863] Run /sbin/init as init process
    [    2.450967]   with arguments:
    [    2.450971]     /sbin/init
    [    2.450975]   with environment:
    [    2.450977]     HOME=/
    [    2.450981]     TERM=linux
    [    2.649306] systemd[1]: systemd 250.5+ running in system mode (+PAM -AUDIT -SELINUX -APPARMOR +IMA -SMACK +SECCOMP -GCRYPT -GNUTLS -OPENSSL +ACL +BLKID -CURL -ELFUTILS -FIDO2 -IDN2 -IDN -IPTC +KMOD -LIBCRYPTSETUP +LIBFDISK -PCRE2 -PWQUALITY -P11KIT -QRENCODE -BZIP2 -LZ4 -XZ -ZLIB +ZSTD -BPF_FRAMEWORK +XKBCOMMON +UTMP +SYSVINIT default-hierarchy=hybrid)
    [    2.683281] systemd[1]: Detected architecture arm64.
    [    2.771971] systemd[1]: Hostname set to <am62axx-evm>.
    [    2.898535] systemd-sysv-generator[175]: SysV service '/etc/init.d/edgeai-launcher.sh' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust.
    [    2.925635] systemd-sysv-generator[175]: SysV service '/etc/init.d/sysrepo' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust.
    [    2.949678] systemd-sysv-generator[175]: SysV service '/etc/init.d/thermal-zone-init' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust.
    [    2.974467] systemd-sysv-generator[175]: SysV service '/etc/init.d/netopeer2-server' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust.
    [    3.353726] systemd[1]: /lib/systemd/system/bt-enable.service:9: Standard output type syslog is obsolete, automatically updating to journal. Please update your unit file, and consider removing the setting altogether.
    [    3.428297] systemd[1]: /etc/systemd/system/sync-clocks.service:11: Standard output type syslog is obsolete, automatically updating to journal. Please update your unit file, and consider removing the setting altogether.
    [    3.524481] systemd[1]: Queued start job for default target Graphical Interface.
    [    3.611895] systemd[1]: Created slice Slice /system/getty.
    [    3.637422] systemd[1]: Created slice Slice /system/modprobe.
    [    3.661573] systemd[1]: Created slice Slice /system/serial-getty.
    [    3.684820] systemd[1]: Created slice User and Session Slice.
    [    3.706733] systemd[1]: Started Dispatch Password Requests to Console Directory Watch.
    [    3.730747] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
    [    3.754881] systemd[1]: Reached target Path Units.
    [    3.774589] systemd[1]: Reached target Remote File Systems.
    [    3.794521] systemd[1]: Reached target Slice Units.
    [    3.814564] systemd[1]: Reached target Swaps.
    [    3.903432] systemd[1]: Listening on RPCbind Server Activation Socket.
    [    3.927155] systemd[1]: Reached target RPC Port Mapper.
    [    3.980891] systemd[1]: Listening on Process Core Dump Socket.
    [    4.003307] systemd[1]: Listening on initctl Compatibility Named Pipe.
    [    4.028384] systemd[1]: Listening on Journal Audit Socket.
    [    4.051851] systemd[1]: Listening on Journal Socket (/dev/log).
    [    4.077046] systemd[1]: Listening on Journal Socket.
    [    4.101957] systemd[1]: Listening on Network Service Netlink Socket.
    [    4.129266] systemd[1]: Listening on udev Control Socket.
    [    4.152348] systemd[1]: Listening on udev Kernel Socket.
    [    4.176729] systemd[1]: Listening on User Database Manager Socket.
    [    4.259094] systemd[1]: Mounting Huge Pages File System...
    [    4.279022] systemd[1]: Mounting POSIX Message Queue File System...
    [    4.313541] systemd[1]: Mounting Kernel Debug File System...
    [    4.331522] systemd[1]: Kernel Trace File System was skipped because of a failed condition check (ConditionPathExists=/sys/kernel/tracing).
    [    4.356655] systemd[1]: Mounting Temporary Directory /tmp...
    [    4.399034] systemd[1]: Starting Create List of Static Device Nodes...
    [    4.431193] systemd[1]: Starting Load Kernel Module configfs...
    [    4.452737] systemd[1]: Starting Load Kernel Module drm...
    [    4.477293] systemd[1]: Starting Load Kernel Module fuse...
    [    4.495660] fuse: init (API version 7.37)
    [    4.507460] systemd[1]: Starting Start psplash boot splash screen...
    [    4.555259] systemd[1]: Starting RPC Bind...
    [    4.570792] systemd[1]: File System Check on Root Device was skipped because of a failed condition check (ConditionPathIsReadWrite=!/).
    [    4.595138] systemd[1]: Starting Journal Service...
    [    4.619394] systemd[1]: Starting Load Kernel Modules...
    [    4.640237] cryptodev: loading out-of-tree module taints kernel.
    [    4.640966] systemd[1]: Starting Generate network units from Kernel command line...
    [    4.654899] cryptodev: driver 1.12 loaded.
    [    4.711009] systemd[1]: Starting Remount Root and Kernel File Systems...
    [    4.732479] EXT4-fs (mmcblk1p2): re-mounted. Quota mode: none.
    [    4.745082] systemd[1]: Starting Coldplug All udev Devices...
    [    4.773603] systemd[1]: Started RPC Bind.
    [    4.787000] systemd[1]: Started Journal Service.
    [    5.206694] systemd-journald[190]: Received client request to flush runtime journal.
    [    5.427743] audit: type=1334 audit(1651176250.736:2): prog-id=5 op=LOAD
    [    5.434462] audit: type=1334 audit(1651176250.744:3): prog-id=6 op=LOAD
    [    6.130209] random: crng init done
    [    6.520293] rtc-ds1307 2-0068: registered as rtc1
    [    6.533445] videodev: Linux video capture interface: v2.00
    [    6.533809] tlv320aic3x 1-001b: supply DVDD not found, using dummy regulator
    [    6.612331] k3-dsp-rproc 7e000000.dsp: assigned reserved memory node c7x-dma-memory@99800000
    [    6.621773] k3-dsp-rproc 7e000000.dsp: configured DSP for remoteproc mode
    [    6.663027] remoteproc remoteproc0: 7e000000.dsp is available
    [    6.745618] remoteproc remoteproc0: powering up 7e000000.dsp
    [    6.751408] remoteproc remoteproc0: Booting fw image am62a-c71_0-fw, size 11046992
    [    6.755896] using random self ethernet address
    [    6.763578] using random host ethernet address
    [    6.775613] k3-dsp-rproc 7e000000.dsp: booting DSP core using boot addr = 0x99a00000
    [    6.784540] tevi-ap1302 2-003d: sensor_probe() device node: camera-sensor@3d
    [    6.792022] rproc-virtio rproc-virtio.5.auto: assigned reserved memory node c7x-dma-memory@99800000
    [    6.801921] virtio_rpmsg_bus virtio0: rpmsg host is online
    [    6.802312] virtio_rpmsg_bus virtio0: creating channel rpmsg_chrdev addr 0xd
    [    6.810963] rproc-virtio rproc-virtio.5.auto: registered virtio0 (type 7)
    [    6.814930] vdec 30210000.video-codec: error -ENXIO: IRQ index 0 not found
    [    6.821366] remoteproc remoteproc0: remote processor 7e000000.dsp is now up
    [    6.824073] st_lsm6dsx_i2c 2-006a: supply vdd not found, using dummy regulator
    [    6.828719] vdec 30210000.video-codec: failed to get irq resource, falling back to polling
    [    6.835738] st_lsm6dsx_i2c 2-006a: supply vddio not found, using dummy regulator
    [    6.878496] tevi-ap1302 2-003d: i2c transfer error.
    [    6.883477] tevi-ap1302 2-003d: sensor_try_on() try on failed
    [    6.906112] usb0: HOST MAC ce:cb:ba:1e:14:9f
    [    6.910550] usb0: MAC 6e:58:60:1e:1a:da
    [    6.914557] g_cdc gadget.0: CDC Composite Gadget, version: King Kamehameha Day 2008
    [    6.922332] g_cdc gadget.0: g_cdc ready
    [    6.933487] tevi-ap1302 2-003d: flash id: 0x54
    [    6.933533] tevi-ap1302 2-003d: find not otp flash setting
    [    6.943912] tevi-ap1302 2-003d: otp flash init failed
    [    6.949733] tevi-ap1302: probe of 2-003d failed with error -22
    [    6.967758] e5010 fd20000.e5010: Device registered as /dev/video2
    [    7.015164] platform 78000000.r5f: R5F core may have been powered on by a different host, programmed state (0) != actual state (1)
    [    7.069357] using random self ethernet address
    [    7.101113] using random host ethernet address
    [    7.105684] using random self ethernet address
    [    7.105695] using random host ethernet address
    [    7.115996] platform 78000000.r5f: configured R5F for IPC-only mode
    [    7.125827] usb1: HOST MAC b2:bd:d7:43:9c:60
    [    7.130191] usb1: MAC 5e:c5:87:79:5c:43
    [    7.131700] platform 78000000.r5f: assigned reserved memory node r5f-dma-memory@9c800000
    [    7.145848] Mass Storage Function, version: 2009/09/11
    [    7.151029] LUN: removable file: (no medium)
    [    7.172519] audit: type=1334 audit(1651176252.480:4): prog-id=7 op=LOAD
    [    7.179369] no file given for LUN0
    [    7.188077] remoteproc remoteproc1: 78000000.r5f is available
    [    7.194099] audit: type=1334 audit(1651176252.500:5): prog-id=8 op=LOAD
    [    7.194891] remoteproc remoteproc1: attaching to 78000000.r5f
    [    7.264935] platform 78000000.r5f: R5F core initialized in IPC-only mode
    [    7.273681] rproc-virtio rproc-virtio.6.auto: assigned reserved memory node r5f-dma-memory@9c800000
    [    7.275623] virtio_rpmsg_bus virtio1: rpmsg host is online
    [    7.275715] rproc-virtio rproc-virtio.6.auto: registered virtio1 (type 7)
    [    7.275728] remoteproc remoteproc1: remote processor 78000000.r5f is now attached
    [    7.286483] virtio_rpmsg_bus virtio1: creating channel rpmsg_chrdev addr 0xd
    [    7.286812] virtio_rpmsg_bus virtio1: creating channel rpmsg_chrdev addr 0x15
    [    7.287126] virtio_rpmsg_bus virtio1: creating channel ti.ipc4.ping-pong addr 0xe
    [    7.288164] virtio_rpmsg_bus virtio0: creating channel rpmsg_chrdev addr 0x15
    [    7.288498] virtio_rpmsg_bus virtio0: creating channel ti.ipc4.ping-pong addr 0xe
    [    7.436512] st_lsm6dsx_i2c 2-006a: mounting matrix not found: using identity...
    [    7.552398] udc 31100000.usb: failed to start g_multi: -22
    [    7.642480] g_multi: probe of gadget.1 failed with error -22
    [    7.898538] Mass Storage Function, version: 2009/09/11
    [    7.903847] LUN: removable file: (no medium)
    [    7.908310] no file given for LUN0
    [    7.939661] udc 31100000.usb: failed to start g_acm_ms: -22
    [    7.945455] g_acm_ms: probe of gadget.1 failed with error -22
    [    8.351919] [drm] Initialized tidss 1.0.0 20180215 for 30200000.dss on minor 0
    [    8.359632] ------------[ cut here ]------------
    [    8.364257] WARNING: CPU: 3 PID: 9 at drivers/gpu/drm/drm_mode_object.c:45 drm_mode_object_add+0x88/0x90 [drm]
    [    8.374569] Modules linked in: panel_sitronix_st7789v st_lsm6dsx_spi leds_gpio snd_soc_simple_card snd_soc_simple_card_utils crct10dif_ce ti_k3_r5_remoteproc k3_j72xx_bandgap e5010_jpeg_enc st_lsm6dsx_i2c tidss st_lsm6dsx wave5 j721e_csi2rx drm_dma_helper tevi_ap1302 kfifo_buf videobuf2_dma_contig v4l2_mem2mem v4l2_fwnode videobuf2_memops dwc3_am62 drm_kms_helper syscopyarea ti_k3_dsp_remoteproc sysfillrect virtio_rpmsg_bus rpmsg_ns sysimgblt fb_sys_fops videobuf2_v4l2 sa2ul ti_k3_common videobuf2_common v4l2_async videodev rtc_ds1307 snd_soc_davinci_mcasp cdns_dphy_rx snd_soc_tlv320aic3x_i2c snd_soc_ti_udma snd_soc_ti_edma snd_soc_ti_sdma snd_soc_tlv320aic3x spi_omap2_mcspi optee_rng rng_core cryptodev(O) fuse drm drm_panel_orientation_quirks
    [    8.374737] CPU: 3 PID: 9 Comm: kworker/u8:0 Tainted: G           O       6.1.46-g247b2535b2 #1
    [    8.374749] Hardware name: Texas Instruments AM62A7 SK (DT)
    [    8.374756] Workqueue: events_unbound deferred_probe_work_func
    [    8.374780] pstate: 40000005 (nZcv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
    [    8.374790] pc : drm_mode_object_add+0x88/0x90 [drm]
    [    8.375077] lr : drm_property_create+0xe0/0x1ac [drm]
    [    8.375281] sp : ffff800009be36d0
    [    8.375285] x29: ffff800009be36d0 x28: ffff000802d4c970 x27: ffff000804ef7188
    [    8.375300] x26: ffff8000011a8488 x25: 0000000000001fa0 x24: 0000000000001fa0
    [    8.375313] x23: ffff8000010102a8 x22: 0000000000000004 x21: 00000000b0b0b0b0
    [    8.375326] x20: ffff000807a36b10 x19: ffff000804ef7000 x18: ffffffffffffffff
    [    8.375338] x17: 726f6e696d206e6f x16: 207373642e303030 x15: ffff000803bc6070
    [    8.375352] x14: ffffffffffffffff x13: ffff000803bc6054 x12: 0000000000000228
    [    8.375364] x11: 0000000000000000 x10: 0000000000000078 x9 : 0000000000000000
    [    8.375376] x8 : ffff00080618a700 x7 : 0000000000000000 x6 : 000000000000003f
    [    8.375388] x5 : 0000000000000040 x4 : 0000000000000000 x3 : 0000000000000004
    [    8.375400] x2 : 00000000b0b0b0b0 x1 : ffff000807a36b10 x0 : 0000000000000001
    [    8.375412] Call trace:
    [    8.375416]  drm_mode_object_add+0x88/0x90 [drm]
    [    8.375642]  drm_property_create+0xe0/0x1ac [drm]
    [    8.375866]  drm_property_create_enum+0x2c/0x94 [drm]
    [    8.569978]  drm_connector_set_panel_orientation+0x98/0xc0 [drm]
    [    8.576240]  st7789v_get_modes+0xa0/0xf4 [panel_sitronix_st7789v]
    [    8.582363]  drm_panel_get_modes+0x24/0x40 [drm]
    [    8.592629]  panel_bridge_connector_get_modes+0x18/0x24 [drm_kms_helper]
    [    8.600713]  drm_helper_probe_single_connector_modes+0x19c/0x540 [drm_kms_helper]
    [    8.600845]  drm_client_modeset_probe+0x1e4/0x1180 [drm]
    [    8.601109]  __drm_fb_helper_initial_config_and_unlock+0x48/0x540 [drm_kms_helper]
    [    8.601209]  drm_fbdev_client_hotplug+0x14c/0x1060 [drm_kms_helper]
    [    8.601296]  drm_client_register+0x58/0xa0 [drm]
    [    8.601513]  drm_fbdev_generic_setup+0xb4/0x160 [drm_kms_helper]
    [    8.601609]  tidss_probe+0x220/0x380 [tidss]
    [    8.601639]  platform_probe+0x68/0xe0
    [    8.601652]  really_probe+0xbc/0x2dc
    [    8.601664]  __driver_probe_device+0x78/0x114
    [    8.601675]  driver_probe_device+0xd8/0x15c
    [    8.601686]  __device_attach_driver+0xb8/0x134
    [    8.601697]  bus_for_each_drv+0x7c/0xdc
    [    8.601707]  __device_attach+0xac/0x1d0
    [    8.601717]  device_initial_probe+0x14/0x20
    [    8.601728]  bus_probe_device+0x9c/0xa4
    [    8.601737]  deferred_probe_work_func+0x88/0xc0
    [    8.601748]  process_one_work+0x1d4/0x320
    [    8.601761]  worker_thread+0x148/0x440
    [    8.601771]  kthread+0x10c/0x110
    [    8.601780]  ret_from_fork+0x10/0x20
    [    8.601792] ---[ end trace 0000000000000000 ]---
    [    8.628850] ------------[ cut here ]------------
    [    8.628866] WARNING: CPU: 3 PID: 9 at drivers/gpu/drm/drm_mode_object.c:242 drm_object_attach_property+0x6c/0xb0 [drm]
    [    8.629172] Modules linked in: cdns_csi2rx(+) panel_sitronix_st7789v st_lsm6dsx_spi leds_gpio snd_soc_simple_card snd_soc_simple_card_utils crct10dif_ce ti_k3_r5_remoteproc k3_j72xx_bandgap e5010_jpeg_enc st_lsm6dsx_i2c tidss st_lsm6dsx wave5 j721e_csi2rx drm_dma_helper tevi_ap1302 kfifo_buf videobuf2_dma_contig v4l2_mem2mem v4l2_fwnode videobuf2_memops dwc3_am62 drm_kms_helper syscopyarea ti_k3_dsp_remoteproc sysfillrect virtio_rpmsg_bus rpmsg_ns sysimgblt fb_sys_fops videobuf2_v4l2 sa2ul ti_k3_common videobuf2_common v4l2_async videodev rtc_ds1307 snd_soc_davinci_mcasp cdns_dphy_rx snd_soc_tlv320aic3x_i2c snd_soc_ti_udma snd_soc_ti_edma snd_soc_ti_sdma snd_soc_tlv320aic3x spi_omap2_mcspi optee_rng rng_core cryptodev(O) fuse drm drm_panel_orientation_quirks
    [    8.629337] CPU: 3 PID: 9 Comm: kworker/u8:0 Tainted: G        W  O       6.1.46-g247b2535b2 #1
    [    8.629346] Hardware name: Texas Instruments AM62A7 SK (DT)
    [    8.629354] Workqueue: events_unbound deferred_probe_work_func
    [    8.629375] pstate: 60000005 (nZCv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
    [    8.629385] pc : drm_object_attach_property+0x6c/0xb0 [drm]
    [    8.629637] lr : drm_connector_set_panel_orientation+0x5c/0xc0 [drm]
    [    8.629854] sp : ffff800009be3770
    [    8.629859] x29: ffff800009be3770 x28: ffff000802d4c970 x27: ffff000804ef7188
    [    8.629876] x26: ffff8000011a8488 x25: 0000000000001fa0 x24: 0000000000001fa0
    [    8.629888] x23: ffff000804ef7000 x22: 00000000fffffffd x21: ffff000804ef7000
    [    8.629901] x20: ffff000802c5f580 x19: ffff000802d4c970 x18: 0000000000070965
    [    8.629914] x17: 726f6e696d206e6f x16: 207373642e303030 x15: 0000000000000000
    [    8.629927] x14: 0000000000000001 x13: 0000000000000002 x12: 0000000000000228
    [    8.629939] x11: 0000000000000000 x10: 0000000000000078 x9 : ffff000806cbf120
    [    8.629951] x8 : ffff000806cbf138 x7 : 00000000c0c0c0c0 x6 : 00000000c0c0c0c0
    [    8.629963] x5 : 0000000000000000 x4 : 0000000000000001 x3 : 0000000000000006
    [    8.629975] x2 : 0000000000000002 x1 : ffff000807a36b00 x0 : ffff000802d4c9b0
    [    8.629988] Call trace:
    [    8.629994]  drm_object_attach_property+0x6c/0xb0 [drm]
    [    8.630229]  st7789v_get_modes+0xa0/0xf4 [panel_sitronix_st7789v]
    [    8.630249]  drm_panel_get_modes+0x24/0x40 [drm]
    [    8.630461]  panel_bridge_connector_get_modes+0x18/0x24 [drm_kms_helper]
    [    8.630579]  drm_helper_probe_single_connector_modes+0x19c/0x540 [drm_kms_helper]
    [    8.630667]  drm_client_modeset_probe+0x1e4/0x1180 [drm]
    [    8.630917]  __drm_fb_helper_initial_config_and_unlock+0x48/0x540 [drm_kms_helper]
    [    8.631020]  drm_fbdev_client_hotplug+0x14c/0x1060 [drm_kms_helper]
    [    8.631132]  drm_client_register+0x58/0xa0 [drm]
    [    8.631374]  drm_fbdev_generic_setup+0xb4/0x160 [drm_kms_helper]
    [    8.631478]  tidss_probe+0x220/0x380 [tidss]
    [    8.631507]  platform_probe+0x68/0xe0
    [    8.631521]  really_probe+0xbc/0x2dc
    [    8.631533]  __driver_probe_device+0x78/0x114
    [    8.653624] cdns-csi2rx 30101000.csi-bridge: Probed CSI2RX with 4/4 lanes, 4 streams, external D-PHY
    [    8.656318]  driver_probe_device+0xd8/0x15c
    [    8.656347]  __device_attach_driver+0xb8/0x134
    [    8.656358]  bus_for_each_drv+0x7c/0xdc
    [    8.656369]  __device_attach+0xac/0x1d0
    [    8.656379]  device_initial_probe+0x14/0x20
    [    9.015243]  bus_probe_device+0x9c/0xa4
    [    9.015268]  deferred_probe_work_func+0x88/0xc0
    [    9.015279]  process_one_work+0x1d4/0x320
    [    9.015292]  worker_thread+0x148/0x440
    [    9.015303]  kthread+0x10c/0x110
    [    9.015313]  ret_from_fork+0x10/0x20
    [    9.015324] ---[ end trace 0000000000000000 ]---
    [    9.091064] tidss 30200000.dss: vp1: Clock rate 15937500 differs over 5% from requested 7000000
    [    9.091325] ------------[ cut here ]------------
    [    9.091336] WARNING: CPU: 3 PID: 9 at drivers/gpio/gpiolib.c:3140 gpiod_set_value+0x5c/0xcc
    [    9.091364] Modules linked in: rpmsg_ctrl rpmsg_char cdns_csi2rx panel_sitronix_st7789v st_lsm6dsx_spi leds_gpio snd_soc_simple_card snd_soc_simple_card_utils crct10dif_ce ti_k3_r5_remoteproc k3_j72xx_bandgap e5010_jpeg_enc st_lsm6dsx_i2c tidss st_lsm6dsx wave5 j721e_csi2rx drm_dma_helper tevi_ap1302 kfifo_buf videobuf2_dma_contig v4l2_mem2mem v4l2_fwnode videobuf2_memops dwc3_am62 drm_kms_helper syscopyarea ti_k3_dsp_remoteproc sysfillrect virtio_rpmsg_bus rpmsg_ns sysimgblt fb_sys_fops videobuf2_v4l2 sa2ul ti_k3_common videobuf2_common v4l2_async videodev rtc_ds1307 snd_soc_davinci_mcasp cdns_dphy_rx snd_soc_tlv320aic3x_i2c snd_soc_ti_udma snd_soc_ti_edma snd_soc_ti_sdma snd_soc_tlv320aic3x spi_omap2_mcspi optee_rng rng_core cryptodev(O) fuse drm drm_panel_orientation_quirks
    [    9.091543] CPU: 3 PID: 9 Comm: kworker/u8:0 Tainted: G        W  O       6.1.46-g247b2535b2 #1
    [    9.091554] Hardware name: Texas Instruments AM62A7 SK (DT)
    [    9.091562] Workqueue: events_unbound deferred_probe_work_func
    [    9.091581] pstate: 80000005 (Nzcv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
    [    9.091590] pc : gpiod_set_value+0x5c/0xcc
    [    9.091601] lr : st7789v_prepare+0xf4/0x8e0 [panel_sitronix_st7789v]
    [    9.091623] sp : ffff800009be3400
    [    9.091627] x29: ffff800009be3400 x28: ffff000803bc6430 x27: 0000000000000000
    [    9.091641] x26: ffff800001201e60 x25: ffff000802d4c880 x24: ffff8000011a6890
    [    9.091655] x23: ffff000806e94700 x22: 0000000000000066 x21: 0000000000000000
    [    9.091667] x20: 0000000000000001 x19: ffff0008014b7280 x18: 00000000000000ff
    [    9.091680] x17: 0000000000000001 x16: ffff800001202df0 x15: 00000000000000ff
    [    9.091692] x14: ffff00080682d080 x13: ffff8000012033a8 x12: 0000000000000000
    [    9.091705] x11: 0000000000000000 x10: 0000000000000000 x9 : 0000000000000000
    [    9.091717] x8 : ffff800001018000 x7 : 0000000000060000 x6 : 0000000000000000
    [    9.091729] x5 : ffff000800120000 x4 : 0000000000000000 x3 : ffff800009953ad8
    [    9.091741] x2 : 0000000000000000 x1 : ffff0008014b6500 x0 : 0000000000000001
    [    9.091754] Call trace:
    [    9.091759]  gpiod_set_value+0x5c/0xcc
    [    9.091772]  st7789v_prepare+0xf4/0x8e0 [panel_sitronix_st7789v]
    [    9.091787]  drm_panel_prepare+0x28/0x40 [drm]
    [    9.092077]  panel_bridge_pre_enable+0x14/0x20 [drm_kms_helper]
    [    9.092199]  drm_atomic_bridge_call_pre_enable+0x68/0x80 [drm]
    [    9.092443]  drm_atomic_bridge_chain_pre_enable+0x4c/0x14c [drm]
    [    9.092668]  drm_atomic_helper_commit_modeset_enables+0x170/0x26c [drm_kms_helper]
    [    9.092782]  tidss_atomic_commit_tail+0x48/0x80 [tidss]
    [    9.092812]  commit_tail+0xa4/0x190 [drm_kms_helper]
    [    9.092901]  drm_atomic_helper_commit+0x16c/0x180 [drm_kms_helper]
    [    9.092988]  drm_atomic_commit+0xac/0xf0 [drm]
    [    9.093244]  drm_client_modeset_commit_atomic+0x20c/0x270 [drm]
    [    9.093459]  drm_client_modeset_commit_locked+0x5c/0x1a0 [drm]
    [    9.093673]  drm_client_modeset_commit+0x30/0x60 [drm]
    [    9.093883]  drm_fb_helper_set_par+0xc8/0x120 [drm_kms_helper]
    [    9.094000]  fbcon_init+0x3a8/0x4ec
    [    9.094013]  visual_init+0xb4/0x104
    [    9.094023]  do_bind_con_driver.isra.0+0x1c4/0x394
    [    9.094035]  do_take_over_console+0x144/0x1fc
    [    9.094044]  do_fbcon_takeover+0x6c/0xe4
    [    9.094054]  fbcon_fb_registered+0x1e4/0x1f0
    [    9.094063]  register_framebuffer+0x21c/0x320
    [    9.094071]  __drm_fb_helper_initial_config_and_unlock+0x33c/0x540 [drm_kms_helper]
    [    9.094162]  drm_fbdev_client_hotplug+0x14c/0x1060 [drm_kms_helper]
    [    9.094253]  drm_client_register+0x58/0xa0 [drm]
    [    9.094512]  drm_fbdev_generic_setup+0xb4/0x160 [drm_kms_helper]
    [    9.094614]  tidss_probe+0x220/0x380 [tidss]
    [    9.094643]  platform_probe+0x68/0xe0
    [    9.094656]  really_probe+0xbc/0x2dc
    [    9.094668]  __driver_probe_device+0x78/0x114
    [    9.094679]  driver_probe_device+0xd8/0x15c
    [    9.094690]  __device_attach_driver+0xb8/0x134
    [    9.094700]  bus_for_each_drv+0x7c/0xdc
    [    9.094711]  __device_attach+0xac/0x1d0
    [    9.094721]  device_initial_probe+0x14/0x20
    [    9.094731]  bus_probe_device+0x9c/0xa4
    [    9.094741]  deferred_probe_work_func+0x88/0xc0
    [    9.094751]  process_one_work+0x1d4/0x320
    [    9.094765]  worker_thread+0x148/0x440
    [    9.094775]  kthread+0x10c/0x110
    [    9.094784]  ret_from_fork+0x10/0x20
    [    9.094796] ---[ end trace 0000000000000000 ]---
    [    9.134268] ------------[ cut here ]------------
    [    9.134284] WARNING: CPU: 3 PID: 9 at drivers/gpio/gpiolib.c:3140 gpiod_set_value+0x5c/0xcc
    [    9.134316] Modules linked in: rpmsg_ctrl rpmsg_char cdns_csi2rx panel_sitronix_st7789v st_lsm6dsx_spi leds_gpio snd_soc_simple_card snd_soc_simple_card_utils crct10dif_ce ti_k3_r5_remoteproc k3_j72xx_bandgap e5010_jpeg_enc st_lsm6dsx_i2c tidss st_lsm6dsx wave5 j721e_csi2rx drm_dma_helper tevi_ap1302 kfifo_buf videobuf2_dma_contig v4l2_mem2mem v4l2_fwnode videobuf2_memops dwc3_am62 drm_kms_helper syscopyarea ti_k3_dsp_remoteproc sysfillrect virtio_rpmsg_bus rpmsg_ns sysimgblt fb_sys_fops videobuf2_v4l2 sa2ul ti_k3_common videobuf2_common v4l2_async videodev rtc_ds1307 snd_soc_davinci_mcasp cdns_dphy_rx snd_soc_tlv320aic3x_i2c snd_soc_ti_udma snd_soc_ti_edma snd_soc_ti_sdma snd_soc_tlv320aic3x spi_omap2_mcspi optee_rng rng_core cryptodev(O) fuse drm drm_panel_orientation_quirks
    [    9.134493] CPU: 3 PID: 9 Comm: kworker/u8:0 Tainted: G        W  O       6.1.46-g247b2535b2 #1
    [    9.134503] Hardware name: Texas Instruments AM62A7 SK (DT)
    [    9.134511] Workqueue: events_unbound deferred_probe_work_func
    [    9.134530] pstate: 80000005 (Nzcv daif -PAN -UAO -TCO -DIT -SSBS BTYPE=--)
    [    9.134539] pc : gpiod_set_value+0x5c/0xcc
    [    9.134550] lr : st7789v_prepare+0x108/0x8e0 [panel_sitronix_st7789v]
    [    9.134571] sp : ffff800009be3400
    [    9.134575] x29: ffff800009be3400 x28: ffff000803bc6430 x27: 0000000000000000
    [    9.134588] x26: ffff800001201e60 x25: ffff000802d4c880 x24: ffff8000011a6890
    [    9.134602] x23: ffff000806e94700 x22: 0000000000000066 x21: 0000000000000000
    [    9.134615] x20: 0000000000000000 x19: ffff0008014b7280 x18: 0000000000001000
    [    9.134627] x17: 0000000000000000 x16: ffff000801d50000 x15: 0000000000000417
    [    9.134640] x14: 0000000000000047 x13: 0000000000000000 x12: 0000000000000000
    [    9.134652] x11: 0000000000000000 x10: 00000000000009b0 x9 : ffff800009be3300
    [    9.134664] x8 : ffff00087f873180 x7 : ffff00087f8791c0 x6 : 0000000000000000
    [    9.134677] x5 : 0000000000000002 x4 : 0000000000000000 x3 : 0000000000000000
    [    9.134688] x2 : 0000000000000000 x1 : ffff0008014b6500 x0 : 0000000000000001
    [    9.134701] Call trace:
    [    9.134706]  gpiod_set_value+0x5c/0xcc
    [    9.134717]  st7789v_prepare+0x108/0x8e0 [panel_sitronix_st7789v]
    [    9.134733]  drm_panel_prepare+0x28/0x40 [drm]
    [    9.135024]  panel_bridge_pre_enable+0x14/0x20 [drm_kms_helper]
    [    9.135143]  drm_atomic_bridge_call_pre_enable+0x68/0x80 [drm]
    [    9.135377]  drm_atomic_bridge_chain_pre_enable+0x4c/0x14c [drm]
    [    9.135584]  drm_atomic_helper_commit_modeset_enables+0x170/0x26c [drm_kms_helper]
    [    9.135695]  tidss_atomic_commit_tail+0x48/0x80 [tidss]
    [    9.135725]  commit_tail+0xa4/0x190 [drm_kms_helper]
    [    9.135813]  drm_atomic_helper_commit+0x16c/0x180 [drm_kms_helper]
    [    9.135903]  drm_atomic_commit+0xac/0xf0 [drm]
    [    9.136148]  drm_client_modeset_commit_atomic+0x20c/0x270 [drm]
    [    9.136352]  drm_client_modeset_commit_locked+0x5c/0x1a0 [drm]
    [    9.136553]  drm_client_modeset_commit+0x30/0x60 [drm]
    [    9.136752]  drm_fb_helper_set_par+0xc8/0x120 [drm_kms_helper]
    [    9.136860]  fbcon_init+0x3a8/0x4ec
    [    9.136874]  visual_init+0xb4/0x104
    [    9.136884]  do_bind_con_driver.isra.0+0x1c4/0x394
    [    9.136896]  do_take_over_console+0x144/0x1fc
    [    9.136905]  do_fbcon_takeover+0x6c/0xe4
    [    9.136915]  fbcon_fb_registered+0x1e4/0x1f0
    [    9.136924]  register_framebuffer+0x21c/0x320
    [    9.136932]  __drm_fb_helper_initial_config_and_unlock+0x33c/0x540 [drm_kms_helper]
    [    9.137020]  drm_fbdev_client_hotplug+0x14c/0x1060 [drm_kms_helper]
    [    9.137108]  drm_client_register+0x58/0xa0 [drm]
    [    9.137341]  drm_fbdev_generic_setup+0xb4/0x160 [drm_kms_helper]
    [    9.137438]  tidss_probe+0x220/0x380 [tidss]
    [    9.137466]  platform_probe+0x68/0xe0
    [    9.137478]  really_probe+0xbc/0x2dc
    [    9.137490]  __driver_probe_device+0x78/0x114
    [    9.137501]  driver_probe_device+0xd8/0x15c
    [    9.137511]  __device_attach_driver+0xb8/0x134
    [    9.137522]  bus_for_each_drv+0x7c/0xdc
    [    9.137532]  __device_attach+0xac/0x1d0
    [    9.137543]  device_initial_probe+0x14/0x20
    [    9.137553]  bus_probe_device+0x9c/0xa4
    [    9.137563]  deferred_probe_work_func+0x88/0xc0
    [    9.137573]  process_one_work+0x1d4/0x320
    [    9.137586]  worker_thread+0x148/0x440
    [    9.137596]  kthread+0x10c/0x110
    [    9.137607]  ret_from_fork+0x10/0x20
    [    9.137618] ---[ end trace 0000000000000000 ]---
    [    9.262329] st7789v spi0.1: Unrecognized panel IDs
    [    9.395451] APPLIED BRIGHTNESS SETTINGS
    [    9.396052] Console: switching to colour frame buffer device 30x40
    [   10.218526] tidss 30200000.dss: [drm] fb0: tidssdrmfb frame buffer device
    [   10.639775] audit: type=1334 audit(1651176255.948:6): prog-id=9 op=LOAD
    [   10.646552] audit: type=1334 audit(1651176255.956:7): prog-id=10 op=LOAD
    [   11.300528] audit: type=1006 audit(1651176256.608:8): pid=970 uid=0 old-auid=4294967295 auid=1000 tty=(none) old-ses=4294967295 ses=1 res=1
    [   11.313410] audit: type=1300 audit(1651176256.608:8): arch=c00000b7 syscall=64 success=yes exit=4 a0=8 a1=ffffd8f7b488 a2=4 a3=ffff9bd08020 items=0 ppid=1 pid=970 auid=1000 uid=0 gid=0 euid=0 suid=0 fsuid=0 egid=0 sgid=0 fsgid=0 tty=(none) ses=1 comm="(systemd)" exe="/lib/systemd/systemd" key=(null)
    [   11.339996] audit: type=1327 audit(1651176256.608:8): proctitle="(systemd)"
    [   11.975634] audit: type=1006 audit(1651176257.284:9): pid=886 uid=0 old-auid=4294967295 auid=1000 tty=tty7 old-ses=4294967295 ses=2 res=1
    [   11.988077] audit: type=1300 audit(1651176257.284:9): arch=c00000b7 syscall=64 success=yes exit=4 a0=8 a1=ffffd8f7b488 a2=4 a3=ffff9bd08020 items=0 ppid=1 pid=886 auid=1000 uid=0 gid=0 euid=0 suid=0 fsuid=0 egid=0 sgid=0 fsgid=0 tty=tty7 ses=2 comm="(weston)" exe="/lib/systemd/systemd" key=(null)
    [   12.014411] audit: type=1327 audit(1651176257.284:9): proctitle="(weston)"
    [   82.430069] audit: type=1006 audit(1651176327.736:10): pid=1120 uid=0 old-auid=4294967295 auid=0 tty=(none) old-ses=4294967295 ses=3 res=1
    [   82.443137] audit: type=1300 audit(1651176327.736:10): arch=c00000b7 syscall=64 success=yes exit=1 a0=8 a1=ffffd8f7b488 a2=1 a3=ffff9bd08020 items=0 ppid=1 pid=1120 auid=0 uid=0 gid=0 euid=0 suid=0 fsuid=0 egid=0 sgid=0 fsgid=0 tty=(none) ses=3 comm="(systemd)" exe="/lib/systemd/systemd" key=(null)
    [   82.469588] audit: type=1327 audit(1651176327.736:10): proctitle="(systemd)"
    [   82.476685] audit: type=1334 audit(1651176327.764:11): prog-id=11 op=LOAD
    [   82.483520] audit: type=1300 audit(1651176327.764:11): arch=c00000b7 syscall=280 success=yes exit=8 a0=5 a1=ffffe59129e0 a2=78 a3=0 items=0 ppid=1 pid=1120 auid=0 uid=0 gid=0 euid=0 suid=0 fsuid=0 egid=0 sgid=0 fsgid=0 tty=(none) ses=3 comm="systemd" exe="/lib/systemd/systemd" key=(null)
    [   82.509019] audit: type=1327 audit(1651176327.764:11): proctitle="(systemd)"
    [   82.516113] audit: type=1334 audit(1651176327.776:12): prog-id=11 op=UNLOAD
    [   82.523126] audit: type=1334 audit(1651176327.776:13): prog-id=12 op=LOAD
    [   82.529961] audit: type=1300 audit(1651176327.776:13): arch=c00000b7 syscall=280 success=yes exit=8 a0=5 a1=ffffe5912a80 a2=78 a3=0 items=0 ppid=1 pid=1120 auid=0 uid=0 gid=0 euid=0 suid=0 fsuid=0 egid=0 sgid=0 fsgid=0 tty=(none) ses=3 comm="systemd" exe="/lib/systemd/systemd" key=(null)
    [   82.555448] audit: type=1327 audit(1651176327.776:13): proctitle="(systemd)"
    

  • Even I tried the wic file provided still no logs of cc33xx

  • Hi Mayur,

    Could you please describe the hardware setup? Is this a custom board or AM62A-SK? 
    From the logs it seems that the cc3301 is not getting detected on mmc2 so it makes sense that the driver will not load. 

    How is cc33xx connected to AM62A?